From f4abb176f2f878e767f91b28d7d6e52e2c7a2dc2 Mon Sep 17 00:00:00 2001 From: Roberto Hexsel <roberto@inf.ufpr.br> Date: Wed, 5 Aug 2015 09:54:56 -0300 Subject: [PATCH] improved cMIPS.ld --- cMIPS/bin/assemble.sh | 2 +- cMIPS/bin/compile.sh | 4 +- cMIPS/bin/elf2mif.sh | 14 ++++- cMIPS/docs/cMIPS.pdf | Bin 500725 -> 500867 bytes cMIPS/include/cMIPS.ld | 24 +++++++-- cMIPS/include/cMIPS.s | 4 +- cMIPS/include/stop.s | 4 -- cMIPS/tests/mac_intRam.s | 12 +++-- cMIPS/tests/mac_kbd_lcd.s | 105 -------------------------------------- cMIPS/vhdl/tb_cMIPS.vhd | 4 +- 10 files changed, 46 insertions(+), 127 deletions(-) delete mode 100644 cMIPS/include/stop.s delete mode 100644 cMIPS/tests/mac_kbd_lcd.s diff --git a/cMIPS/bin/assemble.sh b/cMIPS/bin/assemble.sh index d86876f..c657f6e 100755 --- a/cMIPS/bin/assemble.sh +++ b/cMIPS/bin/assemble.sh @@ -112,7 +112,7 @@ bin=prog.bin dat=data.bin (mips-as -O${level} -EL -mips32r2 -I "${include}" -o $obj $asm || exit 1) &&\ - mips-ld -EL -e _start ${mem_map} -I "${include}" --script $c_ld -o $elf $obj &&\ + mips-ld -EL ${mem_map} -I "${include}" --script $c_ld -o $elf $obj &&\ mips-objcopy -S -j .text -O binary $elf $bin &&\ mips-objcopy -S -j .data -j .rodata -O binary $elf $dat &&\ chmod a-x $bin $dat &&\ diff --git a/cMIPS/bin/compile.sh b/cMIPS/bin/compile.sh index 9032d69..89ef9cf 100755 --- a/cMIPS/bin/compile.sh +++ b/cMIPS/bin/compile.sh @@ -108,7 +108,6 @@ c_s="${include}"/cMIPS.s c_io="${include}"/cMIPSio # c_start="${include}"/start ## see below for synthesis version c_hndlrs="${include}"/handlers -c_stop="${include}"/stop pkg_vhd="${srcVHDL}"/packageMemory.vhd @@ -141,10 +140,9 @@ mips-gcc -O1 -DcMIPS -mno-gpopt -I"${include}" -S ${c_io}.c -o ${c_io}.s $S &&\ mips-as -O1 -EL -mips32 -I "${include}" -o ${obj} ${asm} && \ mips-as -O1 -EL -mips32 -I "${include}" -o ${c_start}.o ${c_start}.s && \ mips-as -O1 -EL -mips32 -I "${include}" -o ${c_hndlrs}.o ${c_hndlrs}.s && \ -mips-as -O1 -EL -mips32 -I "${include}" -o ${c_stop}.o ${c_stop}.s && \ mips-as -O1 -EL -mips32 -I "${include}" -o ${c_io}.o ${c_io}.s && \ mips-ld -EL -e _start ${memory_map} -I "${include}" --script $c_ld \ - -o $elf ${c_start}.o ${c_hndlrs}.o ${c_io}.o $obj ${c_stop}.o || exit 1 + -o $elf ${c_start}.o ${c_hndlrs}.o ${c_io}.o $obj || exit 1 mips-objcopy -S -j .text -O binary $elf $bin && \ mips-objcopy -S -j .data -j .rodata -j .rodata1 -j .data1 \ diff --git a/cMIPS/bin/elf2mif.sh b/cMIPS/bin/elf2mif.sh index 28b7f2f..8a86c33 100755 --- a/cMIPS/bin/elf2mif.sh +++ b/cMIPS/bin/elf2mif.sh @@ -49,6 +49,9 @@ x_ROM_BASE=$(sed -n '/x_INST_BASE_ADDR/s/.*:= x"\(.*\)".*$/\1/p' $tree/vhdl/pack ROM_BASE=$((16#$x_ROM_BASE)) +x_ROM_SIZE=$(sed -n '/x_INST_MEM_SZ/s/.*:= x"\(.*\)".*$/\1/p' $tree/vhdl/packageMemory.vhd) + +ROM_SZ=$((16#$x_ROM_SIZE)) mif=ROM.mif @@ -56,7 +59,7 @@ mips-objdump -z -D -EL --section .text $elf |\ sed -e '1,6d' -e '/^$/d' -e '/^ /!d' -e 's:\t: :g' -e 's#^ *\([a-f0-9]*\): *\(........\) *\(.*\)$#\2;#' |\ awk 'BEGIN{c='$ROM_BASE';} //{ printf "%d : %s\n",c,$1 ; c=c+1; }' > xxxx -echo -e "\n-- cMIPS code\n\nDEPTH=4096;\nWIDTH=32;\n\n" > $mif +echo -e "\n-- cMIPS code\n\nDEPTH=${ROM_SZ};\nWIDTH=32;\n" > $mif echo -e "ADDRESS_RADIX=DEC;\nDATA_RADIX=HEX;\nCONTENT BEGIN" >> $mif cat xxxx >> $mif echo "END;" >> $mif @@ -64,17 +67,24 @@ echo "END;" >> $mif rm -f xxxx + x_RAM_BASE=$(sed -n '/x_DATA_BASE_ADDR/s/.*:= x"\(.*\)".*$/\1/p' $tree/vhdl/packageMemory.vhd) RAM_BASE=$((16#$x_RAM_BASE)) +x_RAM_SIZE=$(sed -n '/x_DATA_MEM_SZ/s/.*:= x"\(.*\)".*$/\1/p' $tree/vhdl/packageMemory.vhd) + +RAM_SZ=$((16#$x_RAM_SIZE)) + + + mif=RAM.mif mips-objdump -z -D -EL --section .data --section .rodata --section rodata1 --section .data1 --section .sdata --section .lit8 --section .lit4 --section .sbss --section .bss $elf |\ sed -e '1,6d' -e '/^$/d' -e '/^ /!d' -e 's:\t: :g' -e 's#^ *\([a-f0-9]*\): *\(........\) *\(.*\)$#\2;#' |\ awk 'BEGIN{c='$RAM_BASE';} //{ printf "%d : %s\n",c,$1 ; c=c+1; }' > xxxx -echo -e "\n-- cMIPS data\n\nDEPTH=4096;\nWIDTH=32;\n\n" > $mif +echo -e "\n-- cMIPS data\n\nDEPTH=${RAM_SZ};\nWIDTH=32;\n" > $mif echo -e "ADDRESS_RADIX=DEC;\nDATA_RADIX=HEX;\nCONTENT BEGIN" >> $mif cat xxxx >> $mif echo "END;" >> $mif diff --git a/cMIPS/docs/cMIPS.pdf b/cMIPS/docs/cMIPS.pdf index a0244361f1de709481efc30d594ee69abe098df8..8092a007ecab134b226874eee489e3cdfc1d8bf9 100644 GIT binary patch delta 33832 zcmex*T(0?~+=kDLOiT7`{>pffgJrA8%N5fVx3h_CzQ;8~k;%wlazeYt<^?)0+3F)d zh)FscRJy1CS+KM8>@q$HlMf$0xGkDo)Rigke)_1n#H%Z}9wr>PqsVoC7VkRmj+(oA zO~+@mHx(G_{0S1VS;S@cJN0w`^UR|T`ajyA{S8@GvBd7aVxf9lSKHMIPV4g@|2V_> zxZ+c+kA-51oA~{k3Y{HKLMK={vj?ipzxU`vz2I}-lFW#Xmq`<*=xjXs@~0_7PqX|k zzSBya8xAo^I(>RIS6N-yKhMc>VaHByCxK2jcbUKg2JYE6TQ~xwxy2XWOZ;?D{MF2A zzd5&mhd1w9ynOz=!$GEV${q(#|9H4x{{3I>!+env(mHwmlM4+$hdty!{NPTst5iT? z{zb>wi{bGOg=eR3eIU;C=bSGyW6Z&>C$n#z|5O?M{l`zmJ2St!mNuHW{0qM&o+#qG zkmJm6-<&`R*<`WJTQ9ENw(jfV3A3Fm8_)NMiyGR#O|UZPwK_Iw-=?(ltnZeVKd@)~ zt-Y&h`o}m%;pQJE+kcoa7RxZ1Sb{>neru#>{*z;(`_8YwpxAJuVRn*ew~mpYK+o}y zLKTk`7F)DiY*?vw)wjcUTjZOGDNl|+&po=-)w1|x>(>1IpZ5;E$f_5Ot^NC~`?k4x zyy`D6*F?FeuU>uor*+<W?IUgO)SUHsr&rgrzMpkyXH~e#>|B}S2Y=`P|Cadq{q6mC zYL>pKuUQ(Xc4cO3Z{}35!b`I~`gYwqX2xZFqgeXzwZKE0+niZ<XNhi+&Tal&xOnq} zyv?ooS3f(HpMR>%C;GKPS9Zrj39aMG_HLdcC!fq~7yYvG{02Gp_EmRJDi+P+>$|$~ z_57WiZ@kq~kLLZlaZ#$8#*%LT5XbnrKjMx)_>lTx)!MH5!$+Qcp6(L(q2h(q%6HsV z7b+j9*|K`=`1xSRujD1d>vnpH)vhRWzPt6#i9=_vF<ktA>+A7Fne)seWgf69pJsn$ z(>_};JK@{98xJen&xWyHbz|kzHnHXH+V;dVSN-}y-ZXx}z5~6Bem`RT-uU-y&h=9V zC4#PMNbhhi&-0q6!1K7??JiS(RE)uu1B)+xFY=sj=ENL%{g-$0f7?v~X}fO5s9(){ z>apN8m-CD-b?+_ADmeFqT|Hc<*j+FuUQ_fLrv_t(!2~N4PLCCwmm@x#Wv*!G@=`x& z&(9ikPR)_=_;H2Y^vw6W9<R*fO1VA%S_pIAvdacRZQJ*#26}E@V6QwoxZb<yc<j5H zB+J*o8ml{$EHd3^xz}!;%*&-Pna5g0;9Xjr^V2KWk5{d*7cvhN$g$$xCOh4?_PThj z?<<zyBDGJ&%Ws<=s$1iol)b3=c$Gy#ujFiv1+20A*X)p=`gvxbe1N*+9ODN!-Zsv7 z95BNuz;Vxy9Pzz(Tn%q8tWL5%>$s}^_iBUPcMW{E)Gb;)t9pNn>w*=xSA9_uh~JR4 zjHkS`TKRa(yA8)~=`il`v3<e2$U<Z7N<OZ*#6>^38ut728@zl~)?bj=U~KQUF>}?{ z;D&;YQrCG@Iw}slxw!Jt^~fsOas$i6dw+MP21HhF^vcP2T=-dejoUKC?bp^dy_lO` zS5|+q<n6rk3Xu+ljGD}*rzS7G5~9=c_jAFDLe5!}Rym5gUwxvUcz@%)$pt(%21Y{C zxuH`JnJ0yaJ<GJau6bZ*dCVCWj@fHm0wn+J$<N<6U2$P=a>UW?>nCZl`lWBIuekee zR&nIr2|?FlcSJ7^%{y!%J6*u_rAJWwt|ikSNIETFP(R@<v(Y8~4bu{PO;%ce_er1o z%E0vHq;nnj&p7ukUplcvG$W4b+K1>R*^|zj9{jk)QZ~pfYL3OM;~^KMwb!Mc%$9gq zI4|P(K08Jw(cU!$!VJ#cIa+5#J-RQHOx57k)^NUZ!Enz$b{6N(gKYP@yo~AsX5L-$ zdi~szCRVNPd1f2x9rrqZXVQ7d`BCcl@x6=(7YYY>sGqEu`E<(Bk3L@R+(7|T+pp{K zpEu47nAfkqCerNhv2OX0fB(MyO}9Cc)2_G7M4R0=)!48173Y~S@3MOf?#$V%>HJ^8 zSm|Gy=JyLODi6+jJnM;VIKyfX**)z-_v<|71JPSv=&d=(aKm`+@16B>(>--~1C-96 znWS`=GbiS6wrfae-NAJhySu9Q)qUsF?oiI)-S9eKj)U#Ioq?ts_OVtzj%=N8B4f4x z=tixmrr_rlLGgE+OG92Hg<Lwyw4`bNP1Zee-y4JuD!o@*^!dS#ehsdt`p^4pFK{k- zV%n1~>YqHL(JTIKHapK`)tprE`gDiA0*~uCizM&A(Utt6ve;WgD5qf4tY6oiY}|t0 zcrqlYWwW0vWB&feb>mU#S78UwWfg_%9pL-2O5W*vmu$<bc!vaG)_m9dp1*`YSv2_d zYy4O#$f&;S(2NsHW7a+^?-cH?eYDnT&!m{A4nlJ$9ba~^>Zw&6*X{P`FO%=*1sK-< z`<N)${^OJD7opZNt~i^`CvJ*vS2?H4#QpFlv$_9~@9WYwd-p}WE0k%Mj9wU4w&T^t zr(zqm{FmqE@BjU1(escuOVu9O%gf{ob{+b7_qmae!TJNixiQDL%1$Wy#4l66tM8BB z(S3&d8J%P&O}|_aZ2NH4E0s&E+^25M?MiGf=C9vVFvYwp#K=);#;<5QcbVG(Hz(e? z8f^P#*?C5}Q%{N;mZ+qcuXw_1*TcB|aLdlU1=fCBGejgpTR10kY>{RzdE>W4@=nTZ zCgC(Q)_48yo09YXYOq=St^8)P;OCol35AEwXw}~|%!#d>7M5uB(&ueOeb@OH3m&gr z**8_8_ND*x`XIgR3*O7w7rlM4Cwan?g(t(_Xfn=F5J;H%#eyS3x>3RC_r~SCUu9S> zPfMA(Sn<HjExY%vsoH<N_936kf$+aub!Ikg`d1^hqq~6d-ED&?r}IyqCuR3AZP@sF z7MoI9)#)v<$8}jm@0^j|vs5ID<GavW{lIq$?(3Q7c0DR!EYUn#|E6g6LHpHfKD^m> zuPbMkiO<x19+Co*z1LVKMC_{Scl)P$ZfU^Q$t%9>uMt|YQshfo+oRP1^6l69>|Pyr zzIlhI+<s!Tv{Uw6mad#hYaULJ&HI0%kn_r^SINDe;%oFJ-aOg6Ha@Wb;Ql`Sltx#} zONop0=5StlD&3K5{o!l@>r&VH0DnjMeQzRua0%X8^<ue<gU&j2o(1#wIAx?>;Ou%n z<(`_r?7t8D=evB2U|#o<bL9c{@IpC`fYmx&Q~knB9J3qdK9BshI{VrT)??>p7~cA` zGAsP&;>nda3Uz+5A6Mu3w}P1^QgoHD*#Xg~UV+nWfBRiXs5o#-cJho@$qY_wS-;i0 zcl_Ntd+*V%<SVA;^5zc`#A{>km^NLT$!C0FF>5^MECYUz6)|_`OXp3ty6nhY#qxLJ z2AOJ=#q4H+b6H<KYFT(Rz4gxLy<Zw`-?2XT{^?~ewp}-!Bu|Q6i%Wm}_P|$>6H{NX z|89F}mwoc!yOK9^Tz0tRGx;w2o_@#nGOJLG=Z5-+7g#DZZU{+=Gu=D7m!~1OZAZF# zMr?=7!)Y>A>!p&GKHv0dmCMVe<;Q1;IlJV1?J}9I6Lm!Lv@v7p*E4U<GQZpqX=~?y zaLKKm3y%nM`N>&sntbPL@y+B-r-Qz2-v5mAdyZH<gZzTUfsbwbzMjmz-fzCZ|Ko}) zDISIKAFe%BYp$;^`5N-}++md`h6<`r_dGxJV3pyyH11cbGEwV;c^4dhA$a=WtM`Yk z`(Nm<o4rzSuIsKNf0E;*@3b78{cn?m+k!iR7K-A=j^>d;J8!(67IIT3<fMnvov)Yk z%)JlK66jG`p7>aW%QWh=1v|4^XM}d<jrCbv!Z}JCMMK{Ges{w3T7B$_KOfFczhC&) zgU=wr{c}{77$0MMF59uX{53ucIC}SGd>8RdlG{3cWzs87z1&Z;X0rY}tMg;!4cmt9 z|MQymUw`w)sJ2Cd`+WU-!|fBTC%P`4?X|n=$*J?xHD_iUt~{{j#tYXy2Oh3ixJ3Vy z^3#g?{!C917OB&<llamSgIfON*S}D`em-UK$9ustEtjq39KvF(*IkzHtUTqm>v#*V zp3G*W!bSRi@dv|vZZD8ib9=&&z5ew?)}N=-?BwT&OcGnOG**`(#^$n$&(;n9)SblF zc-V<$If#cW@_KhT^Y#5*y+&#wFEcLwe7gQ#x*|{HltnwN>N&d%PTHL3FTMA_=TJob z>aF%Fesu-DZfw$}(Ldx<f-iB`FAwZ4p7tZWZ*lO7qgB<<|4;pyP}Co1vMV*vLC|vM zM~w#`HqOdfJ?kIyuRTjzZ1etb?nv8nVCA`<zb0|Z1XQAp**{K|J=nc5vC4D#|D&P> zZy&!DXPA(in?7^vogGJPJcV-F)z?YrJ!20#USGfCMk3Q>u_@PMCMH#%UwTiioAt@z zi!ENy{;%5;*SkS^&$OLeK3v)@w6k&f*$>=PE1%ssV<WyIc+<%lJEt1I+2wH1ex5_( zyrtC>cm-4}j1!YQb$+XyelEwOwSUF**Hf;pYjm@e+o5JCR-e89gKf>f26l0sr0pqR zuk-&fEc-Rl_*nh*f~~vee`=EasU&l0l73h*i%`Gh(cSypxF>lm+PLP<y7j6{BlOI& zEP7Skgx|c?5llNU-)~~5!2Sb^U;3Fh2u<5J)9q=n<_rTpFTGW+>4%RR>zyv-dBAr; z)<k?+x<OMlgIe>Jrvg_M<{L<!JUX%4SbT@*Kidb_Hq5wL_|S67x%$KU-Hq>bt_r(K zFEv`Xr^fWhQZ3n~V!VsIJ{qjm*~b}ey1lG>V_Wox3o<;a`<yS!^Bm>qa6LGe|IVtN zSBtXG+dpL#ES?&;Jm`y-2AfM-_i5ul?Ob!smf0?JFlu&OyHho}eXAzx_9LkqFDgCd zRNT1eLg&92Z!X?<LahE@WM7=Fx3#UE*g3J$g2D3E#qG=9w=`X5Oi(N}dd1;=@Ny2X zk@39$8qqQOVGnfrxwhSmS|nA|K6Noe_?n$R9Mcv*_-x7;E^^K3!<xqi;je-YJ)Ek( z?Czvfy4%_GWX`$!-dR?%{*1p*Wzr$x;57HHIrFww#WAq>biQ3_c937%;>m2@lKT42 z`R@Z-MILSH^W5}FBhA$_;*W6Hlorv}Qa#Uzz#m^+zVw`PW<30qLnlTgW=qVJ&3<)O z<yF1x#og>H=S<U{_^C2IP5If=u;n$Oi!+TxcI;N%-MiL!dq8`Ttk20?tp67qbUpsR zI>%3Pt#G0IPA92Zsb_-r)qYvka?4<5k;!}&p+!}(F7Zp6^-kO0-}h5L<$6LWsGp|6 zWMnwq(2P;D{%F+Q$+x!&*6-i%l>e|)XVwn|MxS{x=6QEJ)pKhu+Z~z7qkFMj#`{t0 zlA3)dztq3aFF(kCa?0G4SueX8^cs#Pec#?4dna}E?a*z%USFM`zi!^X6JNbjGfy-{ z-Q5%2Iw31HjBjn>>e+8!wLkf}?#-^M;CJh;ADi*y>goDD`~H1=R{!2VUc)>-bE@yw z@`N+1r!4jikG#|MoikIT#BuWVu$fn~c1KC)OnJKL*~_oDtpD*w7S-<!JEx{=s<!g! zYXx6@-}afU{$3`P6LTDNLW<OVHGQi;9St^$>sy~S=h5d0CfBn+SY2Q*4y!!3d(lnr z;wfn$CHlU~ycLos{$2eVRX=;q)6ZQq9SirGEHz=z+-AH;CamLL_Oq47_rh4?`kwup z^CEWIo|B(=qQ%<2Z<?hZ{V=apaMogh>Y1IUT|O+I8$zW%6)S5*i|i5keJ15X(k$Vm z4Xm%VL{uD3$gVez@>?01njvS{_EaVGo$JoHkhe3{XU#QPYSMox<WfRx!J>-#c$N1n z3U!2Ld3CJKShr+m4qIx?C$?2n<uo~E_9?Y!UR?jsbe5vhH-5DbUKbvD&3W#iZF;eJ z>*vk8Rul^!{N$5r8-1+$;`|kHngTZqW6X+Mb<Xy5s%acydB7r2`{e$-`qoRI^c_t$ zpJX?g_M>_l`~K*Me0jp<)0ZzZXbu)KK31Q#;iUha_dE2z=zf=eC(mvr+xhV8$|tRU z-A=rF%ykaS{-5^ew%&>4kXfRM+uSmB17fVF*63>cIk###t-8?ey;LO2PnmViUx&Jw zj@8_J7dP}jfAqN3h0FTp4Qs<i+A2#<)R;^)*}hr-+p7eXoQ%MLgg=?58#`ysHAz|i zA=@^*-ub+y>8w~M&Mi}qi2VCy6ZOfSMK_>s%Q<G***8DTI<QdrgktWeNx$10`!WhS z)hj1TStkk1iCIvp$Mdg1srl2&*=g<>DdGzzrmrl0#bnZy-k``79MZ{XdMvqSVxjSo zU;2_pZdP;8@>V*@@UEQ36IpX{LVeF|Yh&{z3ufF^-cX;=_^|h1@w@lOE(j#=IAUCX z@n@~n7WuLtr9qjqcP;Su`91sZ-h^5WmWN)mf@ZBXdGaFczn#0j%jKSq2S1vbE$Y6z z1d1<Qv`OcKncFtUV*Rs$QaM=#yftzvHs4Gm5Aarc`vfK(DzZ75$#>~O=fuhb-Zl=A zUOlf)raOH)YZ~&YUiR;v1pzZ{6k9cRvBe&IRy5Ox)#ac0Mz+q!XAb{e)P8v1&64~J zL1o7jzMHW-{|Tyj=-f8r+!OJ~+$>*TnI93iuR1orB8tc8pVI_GyR#D(amk%$d^y3& zDd7RbHO5ch?(BbF=YD7J9cfGTWl?kVie+XNaT@G=;<<B<@$?fVZYkFF?8&K&$`g0g zoVs-+#>2=*|MXs!3qP`+u2%ZL;pF%0UcaA6);WLTQi=U@%KM!4@#W8)KhKo>VE3En z$p3ipS(4d8jMpaCfB#c`e*b;rEAtBfU!A_7ZOR98ciW0DpIXhXxd+cpIm9(}%Es2d z@HvMB12k^hl%AL~qx1P1Bmca0g@WPrvG;XFQ%<}t^_bh;v3KWcw})w)w|K2Rf1Kr` zkg;+QPuH5;S(nY<Dl;-g<ndiU5Ey^0y-&mA)oOm1mA8u2g9Dtxs+IQMwLLZE(}t-F zpI<6DyYX&_(Kj{YvhY&>6+w<Z`QJk4Y|ecB+wR>(^NC+K=6_2)zdU+v@mwXoN!OP# z&#S7RGsipJ?ym5w=$rF83iW?htoUs$DK8!>&nRSddfEC%leWF;+r4jc=lfY^Me<=K zrt`n-(Ecqo>tW>Ej~A9E?`++@`Ge9O^QUcUm)C{OUD>IjH1Eq%t2?4|3-Sfl@0)h> z0Gq3L@@AHAFK$0>JJRtwB4W$?Rh#U$U3I7xH{a3i^FF#hNKYraH1_hOQxCq+*3gn} z<M6efmvQOazFWcu0x1GqU1tnFTw^<Wvp7?&_3`4I#|l%*&OdjFs6AaB&Ytsp((c-u z`}#Nk-o0shoJ|S$+xM+avC6AoCCAI|iW1O}@htxOFzndREoauwI-S3`_~gx6o8Olo z+L&2xjh}IcYh#>ij$ZxFh`ueyMHas|F;6+RWq0(a%}z`ANnBnfDdUkCmbG-vowu{s zP0u}j*UyQo_Di!t;X+{<!PF~O{K7vvr4JUx?3ym;IPDnQ*MPD~Vl!>8UfTcf+w}Z} zZfEXuhiE)<zU#3qv7DR7(U_%f$%#^ZWAn5B_K98I>~h)j%pa94E)zIjeXdXZ#4yXT zCbCHPr44Ihl-hH{$CW-d{gP9hCr5l?J^cRYyW3uV4MNNN`FySKm>!S)Qg3y9-K)jB zuU|ZRI`M;sgWLRxZ3}D9GJfKah+~^*HlH<c+OvD-AAi|=_g!?$-owk*=`Hkp7&UiM z8ISxHfB#1|0uEA&4?KD{{|Y#k;TSS$e*LZYna|4CKXGO<51j9MDo?=K?&OBZlnZVg zjMqc-=DdgwT=l?%=MG!x{&!U$Ua~Nod{Db=`SnQfOp&jL|7JyhE`0XbFiP)*|4*5D zrfPMWzrO6dvqwLFb^T+#*O&OqZ>O@p5;1-BqQB;g!%b<{L!Syxr?V+~IlQzFDHTaQ zCZWn)e?fIM)8>h8yMjaKyli-+ed&9gjYe`$B9r@;XSQzxO1s-b6u(a1F`wUbr}x(o zWuYg&Ej4Z%?cUv){=VI!YkkGrlqiL_5|;{-l$l#LdF<?7eeU2?w*ZTFr}J}n9eQKu zlIC~s{ht!fCY4pMQWV^#tmW~HVUSF8(0KE^@4oQM&$sJew9B_zwds_7_+DGAUt-6# z?Ea-2i8HQDs}*tNJ67~E=kk^*JPTJpns@x)zZ=WF1)s6Tc9*bbPpMdHGUeZbFxkuV zABh|_n|=F?wM*m0H1qZOm(C__YcnyGj=!nV`zh<jd!yo0AE(SWUVcY?`Q^v#FZoTo z^MWiw5-w*un+V8ed(^9JbNBQ<kfW{q$Xj5Avy!EN<}{&PFN5g;e0L&#ADt5Vd&`V< zcRMU&a#m_}1#jN7?)%quIhMv}lil293$sf6)(0;-@>?VJlJb5p-_YZ!+A~Ai4Zp72 zU3j_qi(0{D@#V|BZ=E=BtoP~ref!>D`|wn0=Utt5S%I~`3#2X<Y3n)9t#7++bMu0H z)uL-XnwNB51h2iz^K%_TT%Og=k7qK^={h$Y%8h=Pye#(km!AzReCGBIA2nLmO-fSI z%RhJNV`S0YE%B~u9kbeVZ{|J!w8Z<A)$a2B6S`AZUR*4xy7hwHGqo!dWjd;KrgjH$ zdYW|e&D{7T<af%)HwvM1Bt>^JXv*f)XE__Ti(E55{KWr>-W>~ZDUm0uWpoa$<aJ&9 z<w8l=(}t2rsi_Oscik7&<SDT#_PUa7SLwMx)8cW>l)X!J6n56xO|#y`*KNS5{dM{m zN%x4|Yc<^7yH@D#I9RZ!`KfZ-tM%=3jx4+NYVxC{2j$*6-wl;9Zs&M#WlF_@c&^LK zo_?u6%quxD*!fh=Kljk58kMs{&v(eB8Ky8627i-Jl`k?ac__vGY=g$b<rP0%bDq?_ z`{SK(SSGptx$S~2cAXi0U7kD3I3tDpYy`5-9qJ4@mHcGGk|LQOMf-YoXX$W@#oV1$ zQZ(b&hMTh6uLg5w?SHe)-@+wmQR?R>@9evG%I5`I*Z=-~?aK+p>hH}0Ps_Mng!ra9 zPgC$z<UMh@;gfpl4`EFwi|-o0gTh?B1N>OrufGa0)!*Md<IAJ4+7;#{FW2ln?a3Ld z${bwS&fn=GJNy5u*|S&AU;lz<!v`;A+manCOgBZ%6<>Y!->Y`{%~!>m0}8X6;%z@% z`RjGqpE)sMdsS`ySO1^>jmB%D=FeKgU_4puCufo?-%06{nJ>6sy?(V$zS;VsUR<U_ zf`PJ6@}hrT+#kDVRxP_+Q<e5Q;iHE8`6{6YmOfnRsU9ZtZ5V$_RPikR{`T9#yr&o2 z|Hb(3d@yOtfzI=8SH9=h3r&d?*z#fJ>^xDg-No}4Oq(3r_WIKK`%CL%g5JMxpP{_? ztfH4@>e1y!mLb;4f0W-?JN`AgBRDhn!{#{`XBfD41b@r!mOj8<yv*a&q<imHd0)?* zCpNiJub}Pe*Du%i&tQzzN?-~wN;4`J)ip5tX=BpqRMySLwC=h7qCclR{(oI)VeLBC zq2*!L0S?uz3GUA8Q&;?o$nC5BSwBtKWmiRVWB%K8u0LC^DQ^84nH80*{6_!97LUD> zslECOzwEdAQ*)x;Yr^&)E!nA)`Ohotl$(FyS5@58g3#-yuW&Z)?7M35bz3iw{H!>R z>dv|sN52Q(T(-Jxs<QM{nM(CbYPw15d)jB*KinVlQtqjeh1|B#)0+1p^KM?d`}^G+ zb<6L67cLaGIr2TG(!k|?OY)b(4O#L}BMYWw<;^bnm?^jL%l_XxIMufs<}mK#tQWR) z_^q`>@phnp>qVn<_2ctD%NO=9s1N93SG$z_eMXg|O4OV~C%JeY@2%L&ko6?HAm&T- zq1<V=(|@T1yga^fN=fvtGOoZScQ&v07v3lR&Gv_LT<KDcABraK{+$QiTZ5dgKIuy6 zt)AiVXpPwwd(8?4tMY@dublDyC@xi35FTECN5^L)$25=boWjhubb|$&?;SkO?lia3 zOKi*gIzRHO&r{>f9Le)@WPfZpU9fEJ)aUB8+0PZVZ?9M8bza*xQ(~D&$oo}Kn^Sb9 zj)aBQPHSQC)cNAjC&k;6qS}92;buU@7d>;Cg0Aa}o2tr`mm6di2>oc@slE61zF+#! zx9q#kHT_c@qfq<*GRE!y%b1o-60|Vk()UTtOE1YVQZO<yGBTOI@erFBvx%|A^uRxi z8ueAFA<{X!bl<IWmA-R#;f~$a3nL0RCOptP+O=D}U1@1WVV8GddAV+0tM`6O<IiX3 zeEzN%=J9Ru@7gE6VPP2>S4<+0=sKi1NKR00X>FbwE3jb68pa}n(kBm6E;2;zyfu3l zgGJ=cn>zzDGE`z4mI+8tSUg3OMT6r(a|Cn9S;h7B`__xSdBdb(!VtMY`NGrCOh$vx zEE>#;%cAD_Iq)&;eHrh-%hI-C#>}Sps}Ank((Vd}S9hzNIC=T#u~Sl?)Y-(jPtW(L z-=iAA$hGWQnL_!7xx5FiTx42sVAUC}0LGpBxpEo4D7ZIBUbuDTo)E((mJG(P47X-o zlA6U3$s3w$>RZot?fQXP>-_u_rvHDav~uNA-P1f1gu*XQVq++s!MN$xtf=~b*^ILB zKcfwqL$<_yt^c$~>R(~_v};1wFNIHjmhiinje-3D?+d1FKPP|NzvJRQBj!J|Z>?Uv zl>5ZP^(+ik*&IhaC-k1Zdw1^DQ=&YHtUDt%THXAA)c^D?Hl70Zoj2+k<L1XUd^@n8 z>+!3Mk5PUdrXS=P|CO*VNJ-`^VPXDnakAmx!jcNzr>ifomieTgw7#|BPiN+T{$d6R zjz9e-*OoolUo-W}l_?B-%gp)&7A#)5_~&ZHBO;Hu*k=4ut*&LzeeusxfMY^QY2nYw z&OhgCO|M`2Q@xb)!t0awZdd=FwX6Pr`EeiF*n@HPtIyWU-IjU6v5nFB!2b>omWW$7 znE!8J|NnAk@8fu*)I!lp$xL5ev8C4!7N-QV?F~CVQS=ex&(pvBZ3;D29U1Jc^&6ZU zq!0YFT5_pRY?1<dd-%14DfUk%Z>n4?%<|;X$#47FZ=Vx<^6tgodJUai8C{+KSMD9U zTHon=`{J>iq8j>N?Vqhzno@syO@jb~HNy)YhN>CSoBw+LxW4C)VDTTp{bGl%-{yS6 zvcY64J9BNWPUCuije7~f<_Zjt9&vs7`fv9mdqFOC`2&ZJ$$YYUwf=R}r|^<WcW(iQ z2l9`?)&7V4Juh(K|JGGJ>#MUEi?)1ciD1wTyb<D1KjHes1^@qV&ip^s``FccaYwH( zdi>v?_3M7yGCs3!^ZsZ4idWlpz&__?sDmEgvTy&FZe~1vqk=*AN{+&_YyUOg+CTXu zaQXUA@$xO3*!OLFsz34D{HdGj=ia^k`}ni-Us)3#K6w07|L*IES+^~j4kj>|e~;IC zkgfQy`(yUk{~uU4c-CvLUaft?@bCMLKTrGJx+s&9_&9_0!IOuqGj{EmQMG8p{&P&~ z{QL)IKQ7&%+aOoZ%iYj>Rgk5!l+j|RQw`%Z1@S5NyicDpZs`0k__y_k1!GRpf44gf zX07##|H9`U+dqN9zTxbf_!nPAa-OZvPWYwI$!=}kaQ0FD1m**5f5e|Wsee?}y6oAy z3H7JrUT*$-wW;1au2(1bPD6e7r&(A3-JkH-wEgk@2ibfV_FHo9Q98cgvUty=lAqEw zww6EgKbZc#AGF`CZAbIJWzU#5?EEKPwC|PeKel+|>VMXswY~KhoVMTdfAi|B`n+xX z!>0YcpZjmV_J92~oP~@x8B23@;_kouSD&^hhpTYoM}w65yXJl2KVRRskC*)G&NQn& zUbO!6v<VEA6`Ko+i<lxTe#S3ve*N{+qX!S3eE!QH|GECozWTSnUfcW={=f4VgTn&$ z3o@yRVkvqeNwaSW{5tBtD`5}MJhz6gJDMC^{1xT$6qEN%ebO89PE9pni@}F#2en*9 z4^O}P3n%m~FKmqHS7W*VuX3yA%Az-?oMLlMU-7@$&*B_a^J6pL>au`kpK2T;tyK>< z9(rE$SLofzGtYjc6*YYOuyBb(_VHSi=P$UwxpF=Iz3JIgn~Lv?GW*<Y#H(fcD|H^3 zP5SxKEaSv!+qj%5nbUtTJ)YuOr*UutQ`6;szB_xllC$e&F1~5!tT~e&^S47!tx)ar zH^)1!!J2E&f2d&j>#{+5PL13Vw_=HdG377j8$PK#Jjtu|#)F~{cTUV#{o4Fn^06f+ z`y`pE{TlmqHlD4!l(VY2P`PEz`-gIxEBL;(iC*9lQVH8OYb~SXi5}_OO%tE)uDmwk z#pcI1J`~QlnqlZSGo}8zs&;Yuir=Ag&R#ld`f@@{u+yhbVYAO0*yOgnXgF;V)U7pH zf5TG=xjp+2E!ZpZ+E~@Ml5x#s<LMJQTyBXidNtF^*dssN{?a#v{eS(m3y<7zmC%oJ zap@CR@t;0x_CcNH`{y*gxSHa9cfI}0<3gpn8Kz%%Hu0}jsMI^Do10_2qJC}9HG@+{ zT^x}C7q9hYX$6}LKl^@hd%Ijm^O5pL2S4zJCO2tzuj))sKD_tL6X~BHbtMu@na^3? z%bGZIkxH=oq|0X_GoQ|QdR}VL49m~4Uzn=&f6J^}ySYiu_-kt1uU`jEw*D|rH#Ckd zT-32ryluH=NqaAk5~pXHQK0*utmx<U&(HA9Z+p1N%PLt;+_7iU>zW6yzb`LSjESw3 zVrMvYRH^X)`Rxydx6kg6bCS9DIPB_<ZByl2U)_2Y_hqfpB))Bo=W>?pc*t%x_wUp> zuX?pRPuQ<4T;R{4`l~+6oZVAfn<deHe%9SpZ(qwETlw;Odd+e1Sk~a0)Z=Zn3)j3` zXZ56>Z*AkeyAvvy#VZ6QKCV9TBBfJPYi?ZOE!Oi>m)!j0d+B*A-+!xwW2=tnIxcO? z==)Q7MSViz!WSR?7u`JaW8&?q)ZL3MX7z`)1}~qyD_N{}iayu8MX4Po7ebCM<vZ$m zc>lShQX8Z@qH6AM-Tb2WVE}LZ^K+>zzY=UOwf;JJ#$<AR$CZe6>0j)0dhah(53a0z zaP^ScYj>MN6|<sG*&6)L?Z3KpVeZ-NnLe=`Vh`BQAK+o!e0lwYwmtVP9Rz2G{Pw*T zqP0P$=4|^~$7kj|@i&~S3``^Dl)lVfE^_ViyFG<Zr`o@EDdhfFWU|SvA#}6C#Ang1 zJymP1_8!+0yK=C0ig*2l%eBrePb`i1bJ_p7SGT^qDQIu9y@#UDgmdD#`_1o6`Jr|8 zK5JR3$wYy^x`@{~6Blf434VV3wEMIEm)9ORTKcyXEkFADt@yQR-zRX)OL(B!WoK<? z<u%t-`0Sr#Zn3^sm$#V*udm9uzfVZ}<;{xO#=1!Xzk9h?>Z%;QeoA=f(fZ5bv*+)8 z5o<hmU3sGwFUQ{Y_p{!uT9sO?eAsy+&w@X?DY3DA{3~ZFq<$_}VVil<WB1q2Z(*4S zmbg5+_HpNgLyuQIN$;NgDDX08&DYK=9!3)<_SxLs{O8B*g!!|t>|uELS5oFgvEhfa zdu%oagxE>N{1xR1RepQ&jmo0N6RgHzYwEkExE}L8{g~NOsJ`yhRMvAlkEe)in7ZbQ z<)*-+Z!@RzdOB^Kbn&e4)~9D3I~OGIFZ1)@xU=u{a+SR$CjK#;?a8~pe>nFhSp0c$ zfZQFiP7jZ3*SE2)sQ$p-9j)`8rS4n%zB;=Lw|N9(<|;bKZCBV5%huD9v~aKW`<niP zb#b?w>k}K7C?C_DoO8fFt}H=qnc3cL9-D=Kc%0rR-*oBpYqpnf9{kjCZ-3D2CVaLs zl4-UCleLVxxA2}tm8KsXl^Qipd=OByc=$kKdrL#>!+!^pmrN5p^`uZ-$vI=~^iB15 z9cC}Ps^s;3TI#)Rmp1mU6mEZ1R$I|$ZNDb;vEsTo+Y2-6_c^WGVA}k}Q%^5Fp+EXs z#<poI*DrkFm3j23v)e)bC$7(*d)hxdb#ae^^Z7$7`;+4}HdV=aHcbDNm$zU3X!&l* zeZOTaRWGW~&zk5X!@T&i)0HazFs8FEa)u7tiknit{9Dq|yvCX>TJ$-u=Ix+UuXXQy zJg<0EhOJzMdCeDjF{Apo?97Wq^Q-thuEyTuzg)HZ+Kk}-x7udQW*VpWsjA$sw7>sq z)B6SUn#zxJD_=7Cv;SF9=;6~_r=IIwpQl)Md71a-&y6>?tg@P&FQ6nOmTtYOOM!W2 zEyFqO$?LjKb(^o;6*XzP|JCNO@4LDErf>OIX)3-bv}BrbQfTTt?X&SKF4VWoNZ+dE z)m@-(nkDi>Gi&DMTW{|!@|-X!x%>3`3+j5ZI_`a+f9;ODlGwd}>fKoW_sL=hCFC~k z+nb%Gt1akneOG?EIIF?!Q@pdxpFg}?r}Rcr*Xq@F|5>qI9;>Zly}HkTzc(*<&TNan zeXVkemlGOV+q8G-7~Webpe~@YjjhC>zV9S=@~_0=^Ae2@UWXQ^h%V~CY7`Z$(d_F~ zeE3rEraax9KVQ1eIuP+Y%yvm&LqYTxujTvNXZt*qmN8uZX5##%=6jE<Y5XXiTJVA8 zYF9jWs+4g5F4tFkzD1TC$ZFjmQa!oo`saxg=H33=T>kfb)((ffeRtk-w#|R{{8R3` zY~>U6h2n`zS?&}{U+dnrSY)H*-H_K(xgzDWCZ@F;C|y|h;@7$ruAklY1$X@3_~*T$ zo-y;Hh^Ml5_jdoW2wi{v?579^ewNekdK=RX-c0ecU00+myYG<Y&9YnF8(xWiS}MKz zT??a%KF=44i{-^%ilr}=IWN02y*i>bKi=l=xAx5S?`!Hcqd9fEQ)8Q_e|DPoNg&<6 zXXm7Qn_?^%i*8yPu&B-Yy~(+LZyxtf+bfY8Yo{E&Kj-|zxN8e1<!1E;#_TDGopeF+ zRnq@$i@(_1Ic3PZ_0?HTpL`c3w@FzM$1a&I65{_kS0nZ5mAy<4cU;%o#j^TV!Iqy| zx#f<3j$UbHJ$SIV{9XP2S3O2v7sT1lnsX)TPP{)m<M`5`nHKkCKVR^?AEFVR_xPIE zQSH)%`w1;;9?rNF;Jo)j(fs-EycgWPQh6t=nr&<Uxsvu<41Z1(F8a8=<M@sXulAU@ z^cX$aydkC9=>muL8<}U0-%@1`J?&lG^r6dlp6xW>VA)?2cbL>&`SEMnwCMT``&ZtX z)}!}omYoJKhkDprE{z}R_7{?#aGrku%AM=jG4A#=vm2|MjSCpKP3^gt$j#6FIP?Ac z1xlN?uE~kKU_Whg)6U-|X68p$xp9TRR$p`}dHLi=YIDykFLz$K`MWdQ+gU4C^*2wr zRpWlzaJNLo&AJlVjjq@8A2JHQt#Vp&@os$@pVOv|&yGffYD>R5E&lVJvB|m(mwK+t z+05X~;Xaoh+ne;4*Wt)-u9Qhnx$_Sd-rFy`E7r#CkjInb=bv}Fb!ayAuI-3iruO=o z>!ejjR@Uv3oB86;sz083^;SL-aj_P~NBt6}vx?hlEt?f0!_T<6=*xH2x6hc*wr)C~ z-=ey7d;Nui>dfS2;l=KWmht<A`|elFS2VlKwkc`*qNAB>`#xU3-_rT=o7&v}mmaTp z_gr&=$HQNxpJ!Z;zEu9!qbYd4&Xe|=es_D%_*kg_RZes}{^?@g-1R=iThtP7zq)QZ zW4@;FUek(mlg{`(Ub`hZCUDuR_Q`8+RKCtBzjNx#+}Q3Va`oQ7+unTft%(y&wr{F` zcaDi!^g?mrvK^wQ4UX~b{%x-HZLaJF*42$_g<DT7d~EZpO(lUR-k;$^W7~6v-yC1_ zm{uC@GE=_)=vIuI{IjUc`go2~`=3!+uU{Tp|H7bNxBBAaCcgKE)$()aXMernoVRZC zzv3ds{EUpCN8GoB*7^UfUlixC-lk@s{DlNBB}JXzg4cemyLt7=njj^2{?xRB`B7mn zcfW3TO}?~pUfbdwk2dX<$mhEeSk<t3(GxE}!{D=~BF|XE1GsmV{ajjqZ0#1!mKkSH zbxrMaFwxrlV&hxC16OL}PLu^-3$SAK-lTg<ICJvpRmv(ow=|C4V|M-986;F6yf*Ie z%O%adPnC5`mY;W=$$M14YWkhd7uC1QSd_${ltzkc`tJSpJM_<`!u*?)4;%}=Bgu8* z<ZqXWEBZ~!4Zq!XoR;r%bw!BU=6MP0;~P};f~|XBeA$y7tu$eBRA^kK?VF#WwL4<p zH?gT`8L>Hhet)le=hI)QyN&)?)m1cncdOr#zn^n<ni}(@rMJVMc$uX=*V{O0dd>`< zSS~ZGITLd;>+B}1IP6&KBfv0etvRnjh-Xq?bVbv#`Q~$e@7wfpj`cykjbExAJ}Ctx z{MERz{qa(-6VZm%i;Dkhrr!TJTkztNE8ne53is97z0Bqd?LM*U<Mc0$NBNoMOt<CO z^?W{_THkpm);?Ep!gA61jq|f_$ylptf7x*A8*jole<{HU_ul`~ES$4)1IG=mV{aWy zL(&$eh8R@VcId3RboWBenWHy$DmHCptBy}!DywqEp(=~PL3!1-&%b?iBF-87T73S@ z$|W)DZr@w5>F2j|OB601vH5M?$o1$$PCnbaHB$~fFOd`st-meVQ>A{Gf8#>uug-tw zCApa&C@f7}$@Quy@+ynetvufC-+KyFF7G}2qqXa*xa0dz$4ht2I<I#l_1Y5V1*a6= zy<O=uTi|NP#H-rQhP&-nZ;4|vo7px^C1a)2l*sa^K)u`7j=BgGUUPWxYV)Dye_k$r z%zvyJ^-XOaE2+PmP?-I${_dnD`z?-oP5nQ|-}d{wRd%QPBi<g?R%8G1XR{TX=(mE! z_u^IOMjTgJDEqtgbu6RT*~l_pLD3D)C!7}gJzg_++UtCo*M7><cFUuGYDg?;Ta+&R z;PuZa@vw@W2RbJTv)MA3mD|6XQYkcv|E+268;?5<%a6P&H2;03A@ddYlwI%Zofj-- zZ`|`qPApNSOtP-<1xLV*_NGIJBi8JR+|Vp0J!iu|#?WJdog9))CGolEFBwHoa;V_Z znYcFXP+{NSXJ_um%sy7gp7~be{=v6gCm+O3b*;Csld<5H=Wx4P5VIgO?CQl;srj{r z9hcvg@3Bf0`H<`{dQ?^>#3!S3<$Z0xGxd{f_8oq)b(Q(<vkx_SxSV78@3}Ru>-ZHl zH&~A8utl4%-?z51*LsCgXXiiO*4gnYX0FY9&d5ELXS06l&a1TST=j3Sy>j04t2h7N zx#42hBgeJYFw3D!<;%0i3Hmb5_Wvgx`Fe3F<Kt^v?><pduI6&OarDzp51(?~{%JSn zs(+fgv|gzBf33Xzwcm$a&vaZ+ek6S8wB7_c&c^el$J<^uXm+Q18Z@5D;Qap0`jv9o z73+X`LE@&fx2LwWoRtamcys&L$*oc+Lnohba%1A>XMb1s!bs&_0N<i__dAxVzxP>` z^2BjX!2NS(y=NzV=-WSCWL1&)lp|7Jx8^&q)IL%nZZG9kFDq>LXPsBXzo|2J_cT80 z)yjA{eMfNgRkK({@!Llup8jRYc(D5CEU&{$8eN$l?W!zaTXeX=@wc7P9B%Qt>%YGk zFq=u*T|A<}(rJ}haiB<=d&e!trFEy)RJfEjS?`UP5~!0|wsxN2;}<4DhQj=3mIYjt zE9cmtwZq<9@A8bpPp8$32)}%pyl|!6#Y%Ts<5wS#{#>PWmveStm;&P`3x>bVcZ^@< zxcL9iO**$GLwrV;KNr`Z;7)FaCvBRG?tb;zvi<(FxrIu0^W5UBtmJJjxaCEkxbUTZ zzQVkWEkD)<Mk`pAslJllasBOahK_B4u`TDhL=)#W+~wM<{>vliudwM$?s|r{$t=sx zTzn$F@B@$0{Y<;SWQ){^8#}h{$ZOf#zAfq+kIJJvl9`H@cU~Jv2i$&~qhB-ml}LJv zE$iW`UF*uvJ$%u(ZEnfMink|R-aU|6Yxaw+Tf$Ctb=In+i0{|_oRO1Ld-~&#Z_BND zAv@J<ZmBt{huz)zW~uWcn}uD1ucrPisE?i3_j2~UuX4ZN&ofZ{9eSvDw$3Rl{p<7I zar2yxwC7>BX0Uqk?o7q`;2ypR%`~BRToNlE>ecvJ@0FZ!CZ%lZp0tf8mhOF)zw55) zqz_R)&VO3`eeH|<$;C{}30wIE)PJ73e_@V<Y(Q1aqz$jS&P6ZNX>s=KEcz$IZ>7(6 z|AdW3eP(5)0bf=A&E+ae+@~jtznOEnA~R^YKwO+|%<h_Z?}NG*E1a3Y`TyIZ^cBuV zrByff-k)^Gjmsy~H0sjrbyL>cyH<*A+Mw60CvWk4ZYP&gEBjYT(W*)N)|+f9U*@04 z)8k$&<8kc7x>HlLpYcA4oaD4jGOO+XJoO`o>=wRoTyU^HS-~;KOylv~pn~3>b62-J z{Niz+d(TSCs`f&@z>-ytS;Y?%t?vnVUkPwNWAcAXMRxv;e)dgTwh70Y1-F^bx&15i z*V0d)8B_1%<h?x;{>u045y=-uZGUE$?-mXDEN(FWu69$~{`=*>6wjOx*Xa4y&hc%@ zm-%%umk#ZHcIB^X<lA~~V_A0|_aAe%Zl7JdI^w!|?f!Dk{#(2!WG)}rm9VWK;z(=H zYscPyr?&mFoPSGUe#9R$_lbfZk4!t3Il1I~z>l28C%9`%Ht*Wg`Y>7NLqmQ__n9n* zYnE4wMW=4(;{Nh5Zqur5-%SqQd1wB6S@NEh>S|5C+dO1Ax^JZJn{qatZ*G03`OP^8 zWn3dPj(NS34WDfOx1rzj!l7Lpy}?FiZ`K-qS$82JPvzBhjvb2@Z#~~1&055{%vElc z^_8t=9~l?$wp=uc7QL`u!6JC~5v^<&8S`15!PQdF*$U-WmDNsp9UydIqa^pY^2RO| zsi@cMcdiPVBdKZl=b1PAzAfiD&I&)?Ti+b{fsJv2`03<N@6U042zhc$+pRr*5Br<R zc2<fU1%?wUr(_53ym0)ieS*NzXTN2>KVQE|=ER1WofB$z`97TV>QpVW<uAq`aZdF- zt#>!fJo|R}s|jCqb$?!r-SLchW0v%rjM^#prNpMJie_ivkJrwTobf|4ulJaXORLlD z<PDSZ>*t+VKZ8&6_RkFk%w-Fh%fwY=(lnA>+I3Y#uFHIXp!94`DWh`qR)2FX`KB3f z-&ZeqC;6@M5c}OOF-wJq9k=3g|5ht~J0SAAa_M4+6=|E6nf^^W;&CY8p5mmVTV=wl zb@zt~2`^L(>Wf)$b&7JyMe`{~CG>n*w`DG`eR-t8GDM`l^2L$D_4m)_Tub}RoA=;X zVdVKaapuf(wykgXn69tc%DF@0aPs!)))%AKE|z=iS=A{u+joj&T6*4Pp*1DpQ_dMA z@3UfLdwZF~tLeb4fRF1=?bZ0!_wHVnrQCLrHD0Ti7W!u!1P9Ic>K2|QUb13C(dKF| z&G=~vPg@f%Z`yi2AgcbEY{xIBD`HU=iZAZHZfbn_#mV$eQ(pYC&Aj_X@)+kz>0Y+T zJ>kGS_rl3;ok^WvXDLf>=Cu(z$nkcSwEi;x&Q<BJCf!p0;}Buaf86AzlbAsj6JN@v zJ50xqL{(Nj%T!;av+_}GR80a`%<4Jz6Dk8Fm;$@cN^kPmY|dBi7_;d^y@a6Jk%ZrK zR*TGRK3=DM;LRNltKBIN{8SCfwmRqj>DqDr_4-GgW+K+hvTXz#OWhZ1iOMdyd+*<z zg|4xV7JZNE7oS>~cHq>KSNCGle16<cSd{(rwog;LIFn}m_C>uLO?QfMr@fW3_Lbky z_F)g3%H-vSG9RUH+IsKf-n~S#*70k7+050C8ujnE^qo9&Pe-LUkvDPM=UpKa4^{8k zxZ+J(;pKUAdHHtl`y=)ut}Z{Po-zM4FO!uWhmKIitmSJS%-EnJGiUDMgSyf@vF|;3 zybjsKeJE9*I#1($*s5#G?%fu<v1a<^9pT{-e%DU?POkBu<QbDctK*1u#LPx5E_*-S z>jCu|hXoY{nQFh@nyoC{&LO}3{GpNxle&udV^1s&Z4Yw1$o*RVMQQpY|0TOrwt7zN zdmd+N$STt%s@mos-SOklmnH6z3QccP9zTyPDgB%{llk-G!<UY}-F1L>!e_as>)taT zeah(j`Jt-Z<3;XCt;cu#mS$cmP_^XY>yA$<F*~Q4X4ET%{;d3S{{2mpO=*1}Ipz6! zpUr2sE128)?YDWN_oIo)+syU(fBY@zF<aLxAlm1$JJZbl@XQpiU9;K0eo&uPt6Le? zX!?EHxf5}6!G)!X|ITc;jo99|)pSX5gp^cl?YiW9-CvJwh+cT8>(Uk3@C_+0la?G5 zcl&kRtEQf7pSbPm`sH@Ku6O;D&m4`lzOUrLTPbiSt4hgWT~@ZxRxAFOxgs9V)|&Ua z%nEP|&?r%kXvp1}$&%k(a%m%rU3=f5qgt&CJuI)SyZzVBd)^1Db!%Rl-&cJ1%Jl!d z96LYdd0V(z1tiY5yq0cFtebhoSfTUQw`tCsTki<9Wky_n?5_RVVN1Pb=uCd@50AH= zKQ=w9?(~tPL5?k~&rRa$Hfk&`Id3vA_x?-k=>L=Z<@%;?7w^f+m?dPne^JX$#W$7r zg|`TPim2+3m~d=EbMrof#z}A7H4IA1KZzOWF3nV9$)0vEDPsA%d&ln0s8^6_bG_x@ z#!<2{{Ml#Cvl+^kwbL!vGwg4&t$*?Ljqj_?;Y;8AG`tgN$@)4aXYGCUUCL*#{nb8L zV8$(8{WO2Nl>fB5n#<J|^?veGc)ndDv4%6j?(>xT`-S=Jv$LL+&w1`S_g3ee%(x}I zEK^scb9Sv;XrsG-{=ZcFTkAY3ZeM8a-+b!hVe^c`F*j=KBi2u@)^gqQAjM$KRIjgB z>lGw#dP(l(Eq=W6$nwt#f6fN>zIykXf!jL&sFvzF-QJ_7RW~MRE1X>NRgWjv=+2Fw zJ&aeLH!#=AJe8Q0q-%VC<xI_YC#~$+jwwkP1T-qAPLe2l*dkb`^f@$W?WKDG@Bh_& z^DJAv=SI$@-C|<y*30%!GEY3;J^RJoluO04+>*A}D|&m(in=4v_^I;dxu{+1XY$^D zyyEJ<a~?_?zO`hjPqNwi<jB2~-?Jb5`tD}<#q-ZR5uu~6RhQ+lgx+3IlV!VYrR)7V zH#3Px2R0{8_EcIce(uwGujKuA-n{QVe)xrW>tyZvuY3<`gcoj%tpBhr>3Ctr2d%pL zQ#q4fSh{_feBg&${ck4~vF~qAmLJJ$HD0d&IOxd!SL%Ul?Yid6=AGd;4VszgT*|9- zEkjTLd!)$1b6>h<*-5uLMBD!f__ILfZ}0}a>6Rid);xbYWA2Lk=U--h+Liy#>)0aU ztA%~%7yRNDpV}fVq@B1+pm}o2*|mI2cwRcrU$tT#cWjqN>b0HbD}GefA9sF}aqeW{ z@!u!*=9OD8FgA4st$!eARBFV_8v8MfO-g3PgXafwd}~@u{FcoQ5UKGO_t%N~+Ob5W zcg{nr`MJ`C3ETXPcEx@VJ0j%RU*^@h-#qu;nRk_WH@bMIiyll!=kJu%y?))I`SBy+ z>(%9I7u#%$-V6BdT=8z<EEUtuAM4$hn??8E2;I5TEO+aQj&}v|wr3`@e(Sr};pgD@ zJo;C1{-w6A<%=G$GETj<=1<(iyE}hBOY?hill$b{V-+Xo&(0{kI5mOgiKLdcb8Yq^ z?t4qu>t-D0p3}Ow<*c27SM^8s-&G>VA9jlf7Ch&?xH48%&a>NFWZ4JNp#0d>t8Ajn z>+fIsv~}MZtBShr*&-PW|1Vn=rrou0iP>uA7<N6q*vGm`>RP%ScI-|EP3|%m)OYnR zozr<>zp#xO>$FVQ$|;J^CO>rf?)gTyZl%EbH@~*Y<o<bC>!#<|J;&tjlc05f%Wvdc zuexfO;xtQQqo4NsR?)%*E@}6-ZJrm*V)!FtM(C9Km&ZP(x!5HZJk)E7eSOaG^#b+N z5hDBFKC3<0Aaq=RyX@}G<}Kgz`=->E-&S}a^!nucLyMbF@V;^5TjF3gIpExzp6HZC zd#?xnvaL;=S$MdS!)=!J8p}5kQM>z&d2{buX~NF)f7-8IVh@Biw9I%j*=UuvsL83< zJ6A63(l-9{)M0)7m4yf6&8&B&zH=4v-diR2WutzV{?57EySAUR_dV<><Qw-UMeg^! z0FEQ|vxJVk^Sk1DLE__MBff%ls+!kgzdLVBIH6}4JTYVbj$8T<Q>OAB5xM)X@b^+) z_RQx>>ASyf)-IK~8Wg|z??3g!>yj3lOaJJ#k~Ud5&*Y)*=QkfdyC1E;a_XP2g5VS7 z8snJ)rxHUKC1`orDsDV(?%Zj4BCBgwz>!I3y-yr{%IJ3TkzCwSeXDr?#N9h~mQBmQ z^8M#Q>5!723);^oW-(|9ah>R1FBP1*d%b4<`ny{)Tw*3QsUE3o3xAzmefxXeUi0Nk zzS*js^7^57yy#i5UeXUGz1;1ar`B)${HQ7N{e~E}jtXtIHx4_T9tK!*CmhYxXgK_P zR#vsX)e<G+WpV{#T9bUePq%dKTPt33|F>cPzo{pWD1V;0SMIdzulb=ikGn5F6VkJq z%&D{B<ufNn$)5Ff%yEp1|F+J2d)0TxE{#{F-}&CGDgXHXjnsr6N0W+^JFonB{HOTU z<9d%>KX*#hYcBXY{j2WHsTx1a`ud-<ZojBeKBe*S@fow-x&3D?pY+SSE@L<6<T|UY z4t0acdUqcRhb6JUYA|$BvRig!*7d&ng55=WTb#dC2UpKAjLl*Q^Vz?F=LZ{aMoHqo zg%_XsoIS2Q^S`o7Lg%EuTeJ60xbpP(@pDVuPv=Kz)F;OOxaBK9TQTTJ&Yf-QCd+mC zWZuk~D`T4ZTkGib_Y%vs9!T)NSdcMm?hf&vhiYD&y1R*)xj*uSb7k6s_aXMm`${I6 zJzwZp`0oA3(;v6J6~BFUFJo_v@UEm?dwtz?o}|Cch|sOS_v*~me67cZed%@#e;j1^ z*SuTyY)bI;ed!nD>o@j%jynDOYbS5n)OpH31n=#4THBo$RH1QG)%4`i<5R7#Yfo10 zuAe1z|1G;a*Jc0MUy+9=1f<s2+<X0Z&)b!@yRu$KoM?ByDl^wH_sjBm_ow@Ly<5Bd z#bhm6j&HYj$E)QZP2v(i`Rd|nYwLPh?-RYT8dYDetPP8KXV#kUeQxI6`eRpCi+<cV z-$GbC`RB9t2a3M&xjFx~+_=#n`Pb*^))RJDcdqm*bo^qYP+%&1Z1$^skHX1LQZo(J z8XtajFcajvx}(=of$d?{sRacu)=R0H&I|r$u`#;t3XjWVrPqGfj!*E{XLugA@oed@ ztD8Tb{vR}VYxfhquq!$rcDCG7e`u;wzx}A_rt?1v6`EV`%zQ1#rLaa*D)>|Ex0(g@ zJceNs(v4;Zw_2y3&owx-J3rF;Vx_>HE+x}{wbR`a_ou!n&c3ll@%ls7yVI&xTHV%L z_`c+Nu8N9(Z;Hi*h11l`MJ|PA{}Ilf>cjZ(uHGL7(TNpndC$$bdiO(AKhMJp4@+#m z+a0LiaQTBuzq3nYUxikT^5)NGYck!}uW5h7(``7-P<&ma!)mn}mB!C{Q(kk28?0(k ziu`-|tofm$!1)YQ4#aNGT;KK5>-+0#O#AMYcZ<DnId?5`h7ISHG=Wv0kHx=@FJCTv zC3ENI>#`f~MQlHBe0B2i{TGkz$%r>zrYmFh#_wqQ!)f*ZT>Ql*XQw=4yuP?A>R$Ux zqf>Wgm>9qJ;Bnuw;J4-Xb+x5SZ<@;Fa!u9K%YMM_QL<^$wfq{!ov9DDn+Z>yx;<ja z3NQ83zd7G3#!gCg)PC$Ip56b_*U2zqdYinaa!*9mp{!iy8S~5BS_)UxR&38)^k$m# zDfV^uBQM=hd-St2w*K3!{TB_b?;f$`D_y4W?SSs%gZ|dWAMYs`3;M1w{3!aqt@H7x z9dVxoic{`sRvn-4Xol2m>3!3U`_IokVm0rceNU4^njPcHbLU?>-|$rS?pjqK5dE#7 z<M8)4o^S2SJhJcpReQgDLCm%j|9&p?)8l`6Dc9oN%cYW6ExYwr<O-Y!tUn^)G<(He z1-tp$>(0Ckzb^GpAg5~HJC3{~6N}e9s5}yOat9Yn`zfP#$&RbBZo8kdzhk+^IU$j+ zligkR<k3gJCmgQXd2&_X{XNxHjJaG~+uW@4J~Ue2*?QJfXRplC@3(eO*!8;0zA!(x z=I9)|8YTbqkgp+r4|JGIQg6i{<9o58ejdjoaW2`Jo0@h_U$5z}$}F`m#<;{~-uzFQ z8vXZU_OiNv`!AML{KmER!)&uxY>On!7awxj)_5(;{;hjs&zz9`*4w-8f2&xmZot~k z()Hp%!s$;*zI%6H?P;DZvoNefLDZbRb=M}xmVFcdOqu5ME?REN^{`^&mr5V34F$Dk z6mr&YGvIqS^#p(MoE7h1Zk>2Zp?vZg6RD!-Xa1j=F5S7e@v}?ogj(~&Y4Pl(oAOFp zi)}+iBZ{5BD8-A&otfOv{C&pJ3l8qxznvFb?b284Y`GI3Zozf4$wO*q_n*a_zcvKR z*H}NdeNj*_b^b>I=MN|Qf>!?#ynnoOPOEWAOhv@*Gxf@<@=RY{w%^;sBsXhCt8MIL zm$NM4ihJifMP23p@Pa|=P;=GHx#cGEEmOWFe}CWJ>@sn2s`hD1(+=ZL`=VAq;C^#r zxzX~XeP>JEuH;L6f3Z)V{e4e|O|+Grn5p8~CHim6Q{u}cO{G$~79`c(ez{M|EnV$! zviyUtXxE~-Upu7hAM`~A)H#QS`6{;Be`eInOR-mvn!okSn!__n4_iH96+d!9WV+KI z)*g-N-->4Cyb+%HVD^*mY5A(vCwldhmKSZ@S2f3Q*W(QrXIm6!_AvcjK6}EIV?PgB zexK|$=Y>Mp^;7osi#M1^G@c4|j&}XIo3-sO>szKXyZh#u9aQ(d8g`(*DY(~=^Y7E} zrq|0$tQQ(=UH-|}H+o)kw?OKlX{m=!OwV6k`st9*^3MTmHqZXp8!BI6nej$9b~FF! zH^oOpYo!<2e-+o%Td?h<!n68AQ{<z5DOlevU8@|ps7HL`HinDbM`p^IwfAc5Y23$g zD0ABJ2YdEPvV1-7cdPJ{p7h$XGg0-<oEsJ%QM+xO=X=l~^vL_Vyvr3rS8iXO+A(QM z<2#-YcTV03e#g<)H}j{+xhb1C@;9~ZTv{?`#jJnMs(PMl;%;U8pKBG13b=DMq<p<Z z@qH0qCesx^_$JJsdFG)G!?dHl$JuoiSD2jl`}TJU+trmj^zTjfdQ`w?{#d{BV2;Ye z!?yL-9Ad_+Uv0fsGVd+>kFPhDFH7@(Fnhx8Ki5tL{$xA5a07e0-+s==Q?Ci?mxuPs zzToU+X)kK+R(UN|!?gItt_kvIs;0b{URRLM&LABV#qjLRr`usmK3>~XX6pPV{By>m zjqZkTDt`Y<)>34ep&D>}#WaQJg|7rBasF{zG4-OqxmbM<`#En$$sZ<C;@-0g8P1D; z(pX`uD>UucL0N8_D!twBFUpsko*|oNr+szx{@i=7e!4IjOH5On|5bB-yu|BI30oBR zojLkLbs1~TYVp$vk(+;NzmR5Oi9WU9_qofDCckJ;^>g|YG)-jP<p~$h37`7rc5j=q z&qBt2^|yHom3eqR#nkiOKc3RPc$F2K6w?B8Goe$rC*2RIJ^uIRvwP8xxmPTf7ZcIA zq}uhE`N_Za+socO$lm*La%8>S7M;Q$)~nAN1+IB#$?{Oab&dYs{p<@?FJ09XRaf?& z>tKcE$4eW$`+wY#xpeYM;No(lPZ0|Ht~Pkg`<{1y*6$RtV@($&7I!V_5Uu}vOxC(e zKL3dO@`~sUx!JdUW7ESuRx^E=k}kGF_m67I{0m1v?RGEu9`qz*;i;a3N1a|VUR?T; zUGSl^{zd!#b(7N8<P@ZRTWkLOxZ4_$s~0DHj!xNJGW&ze;)H^=$v(R_rfWaD|Kb9B zv{K`h4^KPG*f!@$%)Q#}vhPmw_H|`-PwQ8fu>9ov$bVm4%km}5?AonDg$w#;={VHY zUsds8-}kHWROQQCCw}U5Cr^-)xVKJ@!?TC|?o8o++lgl<<e#}NRsQzcmIIIe9$WCd z(x*0%G10`qZ?)))oQe&hCqJ21oBe)tU;U7sMA5#*GuU13#?^#uQ+uhf#dVfciS6m# zAKDdn)HhvS^xo!jbECQTr{e*ps}A;knI-F@;a|A7#Lsn_bRnnz{SW8&wx9lA*RXZP z()?$qQ!d4Cn7S~<&XUvNh-kGpd-}168*>i5&E2g3luIGUyM=FSOZL6Q+m9qqy-D$X z{wC32nP>9N2(u59O%EPED_r=ATmIcz$-ISAZ`}2{@LWN&o}+*9lH*P(VN0LgcJ5dg zQmV7@_YSE|uiqW)_Q^>ra@^stY*PKj4-(sU@?F2#YICl<fAag<jY8A)T>sil{2aUB z%hI!4&P{x-t*87P61_~BZVUArt;uBEb87K@H~u+S{8;oRO;*^rZT(xr>w)%jnm2Op z_;#qFSkSd=uK8EC12ZqyYYI;}w5Y3k&e8_A{i;UsYi+I6UtU@CddWn?$o)0;k>@HW z{10oGAAI`n$L0lhW*N?!-!>tn{rvIF9Nyq1W%=TAMLTtUj=TOg{m;uW?MdK<{<vkA zB3(B<+_CCW!MEzk`!?A8xj+AW3+La{6JGhfygifm`~(-r^053j!F)|BN!x|%m0}#4 z<9hA6{oT~rzC7?P(6x})-8B2!hSslV+>feCUYT(Adf3!^L7}(RIzyfAn!I-CH|we1 zw!^L2SMyvlC)=Xx<;t`3^TOqr-qh=HDYtBnIduEX?e1rtPo8)bhi_0!lW=+7<-P0m zu|2H8w|;o|PH$iND(`q<VgA>jQ_sC<RjmIRdi$40`1NZ)4~EY>x%Obp{5w_-2}SmQ zCQVB{UbEt#u7cQmt%nWAPxoiv=rw+)c>bo`+)IoO*E-^qe=cO+vT0T1glYOmXIy%? zT`!_^$yJwq%tFE2TLLED{wwq+?~CyA1zT1I*=HUuo@BM@t<8mp-dUdn_NvEvojLw4 zJ>6pW?N9Zq^*X<AIeJ0v{`dDDPoMQ~-2OF9^v$HX%j}{8r4{Nsy3!{stX^p)enRT+ zyNlE7e@RL?Yi=|yQ~9oZK|fJZZF2VFC)ayl9u!jIxsdYGIedEXbJwhW`-Ke8GQQ&Y zDr592^p4{y;q^S7PZRUKSJ|FeRmEU&<jT`6dwI*J|2}Cb|DgU~_0JmBb?3J}ihUKF zzGQLet3z+TtXaONB-c!}_$}j0;fLMJ<5a4uSNJZJey?|K<I?Zl4-|{rwl+>Osux}8 zwsD&I?ETf8f{HwKofm#fcWq|c^CLSwp(t;|xt)_6exK+NPyBtlF?P?jh_t?7>koxK zcSZE?@I2og_iz1i*=!NRn0j;dBtzMDW~DW^g(gj#@uP6^-KN!FE?Ei|>&fYzo|2}~ zT(o_km(F65<KD5Hl^?!sxO|~ollREufB%1c;o^zgy@|I(Fe_tAaMkfsSw9Sy2t^5B z3i|L->#K6yuWNRjpH33||G4!_%w7kDrEkw_^v{miDlluE+S-_l(|)|07QN9!q<;6? ziToCq!oyp<s`{6I+ibqYAwbGr_;RV|%CcM48FjTQ`RlX=7x%EtJbRI4r-fo-(L(#p z5pK@U^=jw6zqCW^e%ZChK5?yYUp9r9{V}rX-u}70|Kv@BA`LgWt$E4smx~<XU-|u$ zwp7DO&df}&dqvido}^uRBQ9GYey06_fJ|6@d(hT+esP(`nRzbWuP;ts{(gbNvxG0- z6efrCOp{;1=CbSf{C8<;dCXT0u3a*;O%vX_e_x2)CrJ@ODU<iRRvqEbUU)jIK*u_C zRmE!~Z{5#jA1`h6?YD6asBNEq=VeaH$w`~`25&fc<>Xlo%T;o71MAOMKg_Ls#-Et0 z<EpZx>~H<o#viK>Ur1W@YeE~x=PT25Q!H|K`X;;*+V!XWC~urtn|Gn~1L4&iv-PB} zr|;z6wk=BNobUXAA4ije{;a%}JtgjtVv4}t9lM$TAJ6r?SoD2vx3|ic(EaZO_pT3P zDSQ4twmqxj^0SAxrcZa<B5LT<AEbZe)orJhed{G(ZJQxy$6J4w^HE2QVoAu)WoxE0 zo;Ur)6Ixf-n=att^Qrdj=`T#-2i;v(b^F&{SMTW*dm3oT{j4fxU)K?(^52tZ%L}u< zyI1i4%GDU_-CbJ!=dQ}%Yq}le*X!UZy`(~%@%Uqd+hPlSZ>%{I_;<p0!}z!t%)6L) z^S(a)F?-EKvkS+HG_EY_te^MgP=9fng2^8{BiS>5lunlA^gF$;7K%M3UC){=^Tl<p zy1V4<^45PdLJl4c5ZPWX|0h#+Qv7e-vvb@PCLHcJ|Df$6GlTKmcJsDpubra)g*F{u zT3_xJ{_j{sOZE(PmwP4VF-dEr55F;5_`N+fb^Eo$dzhQQxayR}>|T*ClQ_5jOi`1~ zxgxRW|38{G9X34a^?b3+qU|ES?$`1KWjAipf5!GCoOS2XE&IOgw2w)Ru=4n9`r^6N zn$|a4bj~K<*ND(d`2R2IT>4kzMJjLlo?bT6n_oEb_MejDUCXAO-t{fwOTN79mXGU& zWey3;rzD=tS-pFq-XrT%0yjnYKl@%huJyIvZYq0w!~~^p`>GpVo=vZkx_54;f$=@| zqw7?riw3Nb<%;<dvdU`z<V(g4d!APxTjhN3occ;#8QE)**MCj1w^?=Q*SqV*eC{oU zyptE^89kgnW5L-I|9HgTnP*+jFr6=S%`<e`dbdL-h3_e7CFw5?bK5rY<O|Wh(kJcH z&D=VxeWL4M`@66C{H^YCjsG%%yFcQ-F7w;9Z{G96b@siJnRb3R<ZMp0@mV=x{=Y(> z>ECYgu<Cm8xGZT5e0zHL>I-=*W=Cvq{8-+gU&3{8$^75~P7mJMS!-p!n||KDIMsAR z_9A1Sr73RanQ9!5Pb~Nw(6Wj1X|h}57WS%wGly<z%$HuP*;$`{Dfk=Xg(b2k?$Hl@ z4!+X!(CS{Hxpn%KFZ>F>A3wkQxXnv8`8&&%mExSXT}L-<E45}{F4{9!w)nE3RYa8Q zY_nO5I9E*4{A2R==#R*6-e0^8=Um<Hl{uTkV5^z0_U%hmANJqxkNUAZxkUBjw}mdL z{aKF1nx`*pI#?7ix%K2`mHId8vo7}-CT;xp@6F{ceY{hbSWLc|p>Vm3Iqc=)c+R3b zkM}S5wKYe6%CfArQI3o6Ol0|-@YvY@u1ir$cJi%N?%J2S-|PKM`gn7yZLN{=-4?~G zI{iPq-?kVu)$r6DEa!J!f9OZu4bdtF?iY(q`&8X(uE^SZ3M;AZ*|><YsN+LL{q;y@ z$)`_dF#GL1ah<<B?be<1!t>nMM#TSID*Nc6%a*!z&M|_={l2f;YLep27S$Sbm@6*i z#ruaHPsPhces}OXceO5^YO5PxH_6gnmD5~IW|LC&r?#fEcXR!_A8!*_-o|ggo%7{v zhj~-){!MtRv~8_9+vnC}zJ6<${5Z!qW4m_!XIY7t((1(rmS&y1HE~AXibqdxy>#3X z60##v|K=91e_cyVEW08iL~Y)StA$-~aOpBL_DIb5mgcdn`qkfgug)ZCUEa7qLhKdS z!`o4dlWt_}eEeWli)4fR{G8vBb0#{^T|RHagBODFugXKsw=9mxe7GZg$+C0q0kIs< zU2mT9di1J(@9hI3Uk)wVYkKSGohxh1=I_h<%2&GfO3}r{G#S;9ozcH4FD_G5wYz@0 zc~kA1Fv*UYukNKNZ9jYd`DU$?;t}h#c#AhqW9Hi1owV=9*)_4p_i0GZ__HT2@V}<b zDmmNEtu9|arU*{;wcWRRev-z@2W?NQzP%1nog0{J@y+A3a!h2sr0f5run^6cS6H4p zubs7a=UwaNub+Ioa(B7Pl{1UHzC_356vh`Ua^Lnc^kL%^S6;ovZqH+MK5fprvPkhm zQP}P(-CO+4RSkC6=9wsd%36DAy@|)+TO1G7LUuj;d*yuEtd;r?3#UDCX-hVL(RzF1 zzNVB*-)>BkS)=wU;IZJ^O0UE9QJYy;ay^zah?4uw`M3Lt)^54z%3DS}H)ft|n>x#A z(FfLV7DtSZ#kuroZ&*0>ymacsA4&T6Pfuri#FX7<r@p$aX6N$??sI87KGy$GDml+^ zSx%pC`G>a$o^dSyWSzdPd2h}KWA|C>7R=R>_CA-W$aVL6;O?J+-%7ooB<}Isv!JQo z<iC+r&eF9u)wBOy+_Ygq52x+HMoI3jYo#;%ttM`_Dvmt4aH4Yb)xWjXDKBN7wpeyI z-{0E$dS1}HioWSTcW>8lE#7g_M_Y?&$tuMK(tB3CwfM6@BJEksv-R_u^ElT!7bUMt zGu|Aj+bYhY_Bx)&=>*p_t_MMGi)>>O-o4`06RMx_X6}8%b*DonzF*hJv0I{I+eMo- zQ?5R1d+Rz$WS#fw8EagZzg%2+pkS{1%ZEEM#166qAFWrY)jFIMV!ey^(qzH?*AFH| z7thj{RjS|MJXiV(!))cH8j4XHlYMS%>f1S2eZI6wYtFgMdcW!gCrj)rPamGJHR(0K z$+G6UtlAWb^Yx1Y=Fa(QchA`BWANi`ht7y7hk2SWF_Pl@Ip^^%TTMROj@fBRHTsX& zZ4>xX>|%KF_~j)TmJ!7l9%%1u&t`J;;7&UzSn!CE_s7Hsc`tu5{q?-F!Nlm(p7Lc@ zZQdrGzfY9BDo<pazs6u<dU@@#Z1X0soF)TNpHsUwo+{}3U!3r<KK!fM+N*)v+b3uC z%Jbe(x_Rx(#EEmbrp7a$QtMIs>ha;kt>TS4WA};wuM+lJap3x=m+VjPKE3now{-Hs zX}w*+_JK40aWUPIeYy1Y{AXE}T$}%dMp|}BPJFrFCCQ+}^RCY9op(GwPWiF9Hn@WM z-u=?z!u+c24atA<y3R)~*UfFMclv%TwEw@3y0NOtsvgP4ys*sEo3?T=T~YtttRVR1 z`;TP-p&|)e@;~*8n0->Xt7o&i+xPX_?jn=TzjKUb-XA+q{*wP5pFwi`kByxZBTrnb zkn6Nm;k$9bLakIXGQ!~YU5?K!4oaUl&N4rCj(d7pK+2ve{8!yysMTy0&Q~}!=R$od zcf!-3a?9SQ>&Z$6TLo|a5@T*0XW`{0lbw61o!K>B%GtC|$%V^z)+crjSF48|SKjiO zcuA;CKXOQ^`)2<ZzBghePJzp$17fE<(n>oxd-;9gzn9wj>fayw^!nic<58u*_zxZ0 z<?o~xpXAjU)qTsycH>mB3#ammXD^u~E+CdzA9ZE__prpM<Gp5fQLEJx{>~}g`zs*# zfq=-#r!h~f*RTEctU2>?iL+>9P~x$s`TG9F;-5Osu4ooDzI%*Ax3Db7=W74q+ScjM zD%7=;-Gy0x{d;JYAIg3D3-giZ-#<Okj`^nGy~&eVS$6vO2io_(W^cZfUSa&VudXaD ze_u`JN|pM9E{s~9%MLQuX(URx#8mw-*cxVk-1Ee5-Gv_43uXxyU;4*!|G-bx<VjEY zT{rdI%7|mXGBc-HK+nt6dQ#c@#>9T9N1BtiKX>}t`R3lbnVpkzj-2B#xt8Ltp}MbR zvc`g~%T`7o^Wkyn)ry(Lba{iwnIjuHeONvVwv}91vU183{(2_kRnu3!d>0=xy>YF0 za{x#7qqwqmskBG2YEzkhFS#n;DKFYOedpDJ)9u;niCcw&+j@Skc5nM?vSPwSKF-P2 z1#yowe}xG2&uV<>z0H#EN8LZ(AN&pnx&2u)nN^gZPTmtcH6q26Y2CZId*sh1?~lKb z<Wjx7{A?&wLF5+;<wE0nOLmL3yQbLg>nY;?o-sq}{sR4<mUGpgpG`PDIng32V{L&# zUfG(b@?XVsrn$IYh}Agwe5R8Q*Fn`?_bNB~-_U9<JsK>rdZPc4J=qmfvvM8dyeA3o zs?7D@8sgNs@_6Q+C>MLR)qj*fcHMVlcF(!=t#J1H*Fr%%cRvZt^OQ;nI9)HVeSGyP z{>4n!oSB3shtEmTeY8|K`h!TcLEyE+o3=UcOqyqNK{Lw0{L0fA{`*QN7DkjF5j^d% zG*>g*p6R2z!nqHpc+FOKAC>Lf?k~Ube&e1a7Aj8`izRHnaK`&tO&N2Ahlx>+My|!@ z@B33LW*#tm^Nl~z`{Xr&&)-!Oc%OYqtLM8PzST>9eVyB<i+Asve^JqR`MEYYcKMwq zj@$qC9Fd-JzoF*<YxCrS9d}EfUiMat{o1?5(fZqr*7Gkp11Gy|&OX^^k(qhn&qNje zUzg3z1ZNyxl`lJ=<Dtq^UuzE4u<JWkPE~CWZVSJ~sL1kJreWnp(Oto!i`T_nUp}ee z?Zs&&_2)8o?N;{Wd~?IFC6+bh>PfE5lj5rDvL`OP8};2(=FB3Qn+g%x;mhZJ*~K2H z5I9+S?g<T(Z|A$OtPFNs^W)~UsK0?RIZq>;e^j)qotd4oetr9t=LfGP)Jj<V%I?0U z+P5oH(>bZ^yLqC)*4KW)Cx8BZ=I1<fY5Uwen!gJIU+@1`uhF<Cb)Deu8}oO}*wd<V zNb=pS8-fpJ?|XlzCCkd-b!33g?6a4xFNH{5%)5Qnyv@41uKkqM?NqgxcDXH2t{hlf zI%y`;%?|<_s^r(ce&zS~SuL~PA-@f`54`#owf%PSCB@i;`~P2UTYB;XUkCp&&!h9Q zzFmCL!@0VtQe5ZMsoPU8)obWn`0gDNTsd)#iO|C-;XN|~S4(_5J~b`k$?;ROJ*2Of zZTYr**1J4bg=cK<S6nThIx9?U-~5Mexf_)o{Z@&sbK0sr|4fX8&50AK<tv@mwJ2xU zz4~p^aF74+#COm8ePT~n{Z3fO`gU{e+Z@X;R?dNq-xtQ}s9u<?5i?WltzQ4S`kcUP zZ*l`q{I#!JxK_${Qu*=L&31PxR-W;eIvutq{b0lVmF%^JkwIS~BG*}cDNOpypnu#Z zW|rRV=_NbeKNo7u+kCof!9?{X!TVIMXuS^1@9c^`=JerT`0JcaZfmU;%}u+S{%(5f z{De~bl!K4woZaYEy7ED?jq>!!_0|bB3$9(QU%9(>OWBF-R)_Z%Xx%v?za{$7`+Ch< zzGF6mGkum#Q!L4Ta%N`eAp?`24KIvYW47<i+8uB`MuqeDM%78nzf?a7;rqJz|5tXI zC#z<DH0_RBe@P{C@*}}3N7_!+?hp>!T-f`XW$7z@F~_}Uy}PC?D-ZivI%%q;_!p<% z<1f{}OfFTe=arwGW%KLr`zsPMH(H{Z`uBSD_f%N#P~9rG@5+(zE%&bHTUvjT>ss(e z&qGmEs(!;^PX*2m8_my2u9$T4%#rQN$Muz*Z*{M<<kNMs?-q#rFR0vc_So4L(bzvf zcC)P)3m4m6`fbO16&2|Zt-4xUG$&2ZET6J*BJ<|WXD+<o6;xY)^v<2qJb6JinTq@) zuhVKI&(A5izQXgh(JbG8k4o?FzM>{Na~t~>J%!9kd;gtEmkIZ~_UNGTJ6D%mZ~Kz9 z>t-k^ybHPFD7@s=Qu%+0{LDXj-yCX@h;MbuJl_)E9eFe`@BM#nWvM$)ADcXQd$jc4 zE1u%CT%k`Fg?8F~f7sLZB)tAlSe(m`4-NflEA&*?W;>Q`-o@{-QH)dagh2ZB5GQs$ zlNsufhdNLElhn0YcgS>4n_0&eJJ*V&&?R?jZ%Cha`?f~;Yfs+~jt!F|<B~;P&ag_a zf15k;`#cto+_v{7cQf+#x-S*q-8lP6S>f|@Wx>7LHh*kxp6!0t!>xVnv7u%{{r7-G z6$O(CmpU5{CRhCS`xD8^S)Eg2t!LJ^V-{=E%SAp*HwZR;Vmba}?(Ac2(>xNg|2-~V z*7A5+=C)T;HL4$-P+lVX;mqL)8`C38n*G+hHFliJmf^kaWUjfmPhe+#_2Wea4xv*n zKgbc^QZD%@z1wO>%16iM!+gQcm(Hag+#pcD^y^`NllQy+-w!^RFJU(?waYnU-I3{{ z|Ms3ZAKeh4kzn5a!}7>C|En2Ip1*JY{BN@QraE8wiK%C*gA~q3#}?gJi|q`O>@?gK zQdIe5&k3$=sgM6JQ#drYYWr{fU(>JZR-9<BGoN-_VP;<03H3CekTVLCJ!&*vE{90G ze0l4z``mhC&U=}{6<tBUek|YNcJ+EDBgdn?x3@2v$0zoCU16xz?^}0IPJ8+G$&|QX z%QcQH>~g3+dsQV<`%tdzg)&B`Cs$*h1#l&-y77H6!?DYEWOq6;{qFc?s3;nKdCB)# zeew5YuXt~4DJk9-aIECH!@`U9Ps>E!pW0#Hx%{G@!h<^{QO)%;!mNK~^e#KJ|LKM+ z&T(@ZvkUUCR^}gOx-Q2gctv}$+$p>HU4CbHU%0P+8<`Pu)%Wmu+h?qMZhC6W;!jpc zU#mYm>FL{=<AxmPgI1VEo6KJtz3Zu2b?txc{+<w(sS83^Ojg|NB$jez-GahhnjdN^ zi>nXI{QM$cU0y6D@woFabA8(~=bdbyp1#WM+&%H9?W^ErWv@l_*WSO;e8=Z!c<^K2 zDJFe&H<Jo_SS*i!a<iQ*WMJ86;UW_lR3Fzj|8{+@n8wN(4cjig+!B?>#q;q%#W6wA zP~peP<yv<(2`H@APBl1SCYqe`UwE^3+lRDy#es_=Yz}_Aa`4jASB6=fGOk_qm#3v2 zdAC3GlH7y?8-*6$e{yh!|Ay(CKTl=9-kX_IaIpFpNBz5`Q~cMB*<aoiG}+<Ys1jJU zM>25J*MD35BM*cgy~a^5|7b$DTyfRzmgNBpvpi>BT{`P^{JQ+5(jqxlf>-r#f0$!Z zuKVxo#;`p_^H!hPQq!|z?Og?vWlztq4?Y!L|IT;H$%5sx@2}N}a5mOdVQ%0K*7;~* zz1;A~ISu>d)8!s4m(Kcco4-sX#@F|$JJXsOiC&2ZRvwD_zawXJRqUFXF&uue;!ii! zJU?l?cZT0~VTV|4dk-NOQ<HP6s@#u$zHr=CO}pdnhqyW$v8ESqom3<oWtIMlcTPTf z<JxMIg`J7NT+Y9<tt)(!dBLoHf%g-$o9hg0nL4JQe|Y6O(}bEO6K<b6zrQ2?^ukwr z>h@_`-P^g5$1yB=)ia+;ot3Tg8BZ;&o3hXNaCb#4*TnTM3U9*0e=cP{w~cR$@{G=S z`KedG=)IWE=+7)#f5Y|Ga)zp6TYE1ByS)LrIbqo>sv+;+cGnbSiN2cr^;ZRhxNI3) z`a|Q~`w_a^-dxjN%NZafz+}qHW&5e6jXk;M-&^(A<ugowhrDy#wCHSC@9&^_4^{P< znOU~oSjeb8{q%}y(*zH{NeMBUxMb?yxyS3DuGjDKpUfU_`dFSnA+3IX@lVcF6@imS zCZ(3{p5625@cfP$e;bu%wiJB!e{xJ)@6@x&pVcqL&N#VN(te7C%+Z$X`n!6hV>0i| zbiB9e;a<<<VnON#g1%n+M9S)z_s)1X{g?8pd`Wrb9a5_g=5cq#KGHIM{&k0DbTdcT z4Eg82?TI&o&h^Ee+WBy?Tb%IH3H3Am>$e_odu1!a8JRYD@17;kQ;PqX?XsAsmbbz5 zjO7W92Tp%jXX)@Cj9sJoe&537b?=?N^1EHD^1a@;IOBQDnR>UYmo&muU-fFXuCJc| zJl*RF_k^0DC0{Pj|NM}9(YtMOM_6q>e`sA(7#<WFa^OJI@t2VkYp;mAad_=2n3%Xh zz5aW09@|-g_{}pzOA`I}xVE%y)_<U>W_x^g{@lZnEfKtpMrRhRPZW$WC|vAtJY2bz zeg6ts5%1pk`<fH&3(sj8F8b~9=diBFj+MK*81=l`R?fcqUE=PGV%FH2WtI;<&bpc@ z<iPMm#YE~(Uh&~6I}G>No{Vqk{h_7*EH@*_Lu_*Wcl#e31&vPbm@u`%(f9M6lO|a| zj;{Kpf64i`^1^?gro9MEUE2Ouh{1G?Q(vjW>pLa0F3ju5dad<yr<KO%f{nS{71jFx z827Lr@Upy=>y)iF)qJm<%6(qOo{zKTrk6T9@BQ)M#P%}@Z!dkSn=1b8_BY;Z9?Mhq z9r=5|nT7ki)U4U{W;4rJ<Fs!uxqk9|z4)VE|DBh|g6G^g5ZUP`swTJp^k)sVs@dhX zr!|yY>K1mr`NS9AqOm^i?(^h^HQM%C7CX*5^L=*ww_3|i_QS!GlU8ztGv%DV6Sz;P zDO8E~?(L`*Jjqp=k>bY}T9|I@*giKbU;KE;(e97sv5A85n<YN_f2<cMbd~aaf6+jx zJmi=3AyK{^K6BS+D9T>q`h3~TWX30HhMBX@xaaP=!1?z7O@@`JPrFJ>Cv1Em6OqRo zWS-pEYIIq)rku<F3+tpaX`jU+Y8ld3ES<Rf$6I+5$(vLCU&^u1J;A7Y&?iKya<z$D z@WI}@U+dyROrI|MvV#4umGk<&^@oq$D$+Y&+ZkB4^AT&wVpGE%Me_07X+IA*TZbBG zW(BX|V4Ja)KiW=S>xJ+neq#r2&v~KC6|0K(zUDclf2;5BNhd$sDfM=fpJzM_I<k9G z-|ZjXhd#}&J9jQAt!(zrB|jy8e&dJ_IXm}){_fN6|D+h>{xs^Q-rtgw{p8l1nampX zt{lHMF=ajAO+K=xE2?VU@lS8Qon&E|ek3Yt)3w|CjUof*-ss=DS?$BB7uKSY+t#S8 zK78t#$F^BcS0_I|RP=k^jBwK&zjOCbtt*M-w!Orenf}3z{m!&yd94adc5Q8RT(NGB zpT?m*qFev}X>*vMe2aO?<1-6QnJ!oh=_o9pzG7lg{l<k>9?H*L%{wC>FPS>g=;pD@ ze|^@lbtOI8d5QP$)dd@``K0E(>T=uNT-EU3+A(we%fNSLDO0~ZyLZFzLtGuxre|uO zENo;}_s34CJX5hUVz0SHNx9F<-@@rHrgNUETD5bXwYu%L=Ye0jj`m%$dbw`*gA13x z6}cv^*>Q1q&xy&s^?baRr#9RXn9a0;O>O0x>#cd`d{Z-jcXap6li+%u^T5C8ukzwU zW*l5+ilbjoZsZYFZ&SL<WZM_>({`f=>+Q5+nTOr$Dwi^M<@laxsQvowp!v1x_S1YD zH@)wdiP|#jz#)d;7Rw$dDI`iI_}9&Jxwv}Y-ow>azc)H2`}4NE&QPrXGn>adpjb3z z>e<cqTg;ML8Kr)`7L}IykX@9uh+p@YO~dZmI?jbUe@{Jd)-*VO*zfb1yGzpEwzu1u z7cG7hxN(Kp<Ui-$%=>+-C4cR?b2pVt|E;V(l4&sSR_nbdk})w>Ps?0QBPVVNl(W3C zU0%9PY5CHNbN7iUxJf>*Y2W|sUqJo6kSd?c$1gVTQTSWEvi`=_2&XGPzB%<?g||$Z z{c{Ul<0=+}Y`<5OUEY}UYHD9;somVQD{~KJ_HQY&+~e*uDfR&4{`{%O_T{YPTP;85 ze}e7OGOr|;{R@n{`O2<*71-YC9LONnqZyd$|CFP>IBm!L{I%L^mP}~&HhA0gY`x!< z`v1JKdksP!Dp^c1XV8e87QCeMSAq4+x7MBs*3VZd2~FJXrP9RqT=ID8Z_6DYBD3$g zoV2(7>fk1Rf3igPGRBogiGRP^S}B`UmhFvFE<Bqx>jblW-Qktq^G_Y>DBbL}!+HJe zdH&BT9xn`a(-f3eaBrH$ec|B$s4IS7P4iCbuN0^kWZLy^U5rHO?I$|QkM5Y%YQ^`b zPxv-hW=7VN*S4BvqQa(qPj~R$-^IMgAStRl?HQ}ge@^!+pXOLw>c3M;7dO)o?t3sv zfA8h%yEiL031n~v-AKwi+;=TMdI@KSqP6z%iH8*ek9nyb)(YVZSNf@(y;A4kqin-T ze;<6eFWD?NyZ&0D)SJhvZgeYTiwZ2w+#OsXsoR@+s{b$d2I2P=j`>^5c6#p2J<eiK z?69ryw5yL!VrOHceO%gNsa5MwJzHR4@<>DaO)uN`&8bxyCR`8P#J+yqeDOp3rPm)V zxE7T7&YPUj`QdQfagHnfmp5;_o+2^3(_M9P_q4C!Vxerp3$-}vi|TD>^-f;QaLF_@ zYnk(O-{O5=MVCaD=gyV*A{`#Q*fwppcGIoMZp+r!#o|9sy*?~mq8T40rESt#$f&)} zA*<YJ2Wyz&x=zEQ?U(+mT`vE0zQ8NfEVMFQ_L-l9CP%GuzostFtS4U|%r9)#PdRhL zz&^K2@vLB}cTTpv&Rm8m^@R+|VpF*PiyHTxkQFn`S7Z!nPw@`DyXc`v*5dQ$zKM2s zwaQ5=@>s2o3UrlSRD1na!;hv95l=H8PcC}wXa99=yJk;jy3)m~3B_`(oKntSR+6U< zs)UIJ{5i7W!#~F_Tc@m&XIDSeQ2A}~)>-^d85j<C-^mKh&8eOjXjy!J%9(my^GuI` zH&1;UE}g4?>8$*69oze7+L8Z5x3IqEJLB1`p7YLo$)!BQ+`p_BjJNM*yvsc$^Q6G` z<u?UZd|;hwx2gNR+RA+~*|Qd0eEF{1a&i4P#h-q2<{aN^aNMq5R3;{s_1@`KSKjPz zZk~937uVv;(dtd6|9>uLe{rkXJ#czYnqd9a^mpgvoc{g0yMVo~ywlijqhz<hzBkiX z8z!Fn?sQWxF?9J`Q+11#%JVLT%dGGhtZj{0b8Q#HjAO4iuGQgKnWxlW=*!&jMrB#b zN`|I{oO<ako82}&@beRB^6J~+#<BEel<MT=Rfo6qx;$C4KK-U-M*Qp2us?U^R-VaC z=n$KHs6KDmxhWkdLMP{a481d9fx@|kN{e#~j~wFCG5;U@%b@sgvE};fdmHDzoX#B< z=)YyoLf%u0ufJw-i`}rv-=>B~QaY>Z+VXX)@489(q#c&Zdvbo!g-GvB=X2go(N+;V z@oLpk{htgPGaC)FkMXhZT=v=F^uj!*nKxK${7p9)F7oKBt`}xWI(6`vbQQ0G?iZnV z3q9JDz9uZXBI&sG*>1Za+pn8*-@LJBj~7hvk_*{gxj?XIm2vR~MWa@p)8!%-S61BP zTyg!r$h<zgb1c6ey?U}#!s-T-zfAbUO@@YQ_ATBLuO{vcWBQVMajmyXjs1$2npbPh zCLFzPf5^zB+RGy7i^h`rrhQl6_eI@K4w-QFP4D8rIvZ?Xo^P1Bqp3nt_WAye|31c@ zXZtDTvFXDfPMz=JegBU<y1zYV-N&5EZ=_kG_BO0^d|kWX!R_egQ>zXfu(1yn%RgNH zLi2U}hwXRuv@TAoTQTjxv`H^nD;PgHZ#Lb!?dAWd_TpbZSHBfz`+oGYpLT6j{XGA# zWv^AgtM8AQx%6dsjBEej@2$R*9wf3{Uus>JG}kLE?dq<@>?(4$e)T%X-b{0J4WA~N zt+0Bb+)~@b(%`E{Yc>|C2EUxX`bCs+i>g5QqYSYW!%5#(`#7a8Jrp@V^r6X$%k1eZ zS2|Bz?QF2Q)~`;H=|=kELzQdVr#6V>TXxsaf1xQj%j?`*rq|2jY<bM&4CWiIxnTNm zTTzGN%D>%bdH%<UYzS+VZ)uGBc{ao?&ByXww`$9SOd)yGWaevYoR>v5%|4s3a^}m= z&-+sv4xiYjuk>P@W=M;bNsIjc*JXMSrr(g{YuNdzbiVJ5^*qrs4c|TgCYdiXbny^R zyl_%AzP?CoZuUwI8@0K5yZ3JCE~vYAq44j+Y4iLe8_ZgNuxvQCvM<*BXY#^m8Q$yc z2h@um=_JI>H*#1wU25a^@Jyf0tGRw1IP%-S``Y2af~p|L_P0J+&B_~6-hGWdD3+;y zewXr^ve`D?!rzb0j%Z$Q-Zs}p+CqNiR-Yr1F_E?DGtWlX*K;1(?ep(?@ZU>0&3^(C zqZKa8oT}R-cBy`Es9#3Tt+L|#XCreaE>L{cB~!Lz!HZu#fuC<qDbt%NaYX52ne~gm zZ*>CACU)LieC@T*^L1?>Zgssn(xNs)hv#<Xt&+vB?QHI{&FW=ncB}1J6MjtZ+_x@$ zafVK&{E0#eQw<i!9}}q$GCEv&HF&Be*QMHEwKipoFx?53DMIc?KU;_JO}hHs@5-Zq z$MxGi;|t>(cy#0pe5#whUwr8Nof&ACDObIV<JYolN`HU6xF(peHeAf+rh`<R{ncwT z%{$x{AIyLI<j}GSmi0R8j3-QVI#OZoa%JPUpKB+bUAJ0y-Aj!Oxo_%=*468ri#+Fj z-;;gqB9mkH%I|oFZ)=`X8^AEty!h(2p8RKVYl0o6jy->r=eaNbL7vbNH=!?=XXnNr zedvAr_3D|iKSB>Qr7Lht1^zTEJ6jlb#wE|?%oLwo%jo?21DOV&EZ3fn)aBJHvg|(M zIQKx|k7w3Or<ZhZn%+C5>_W1jAhUIpmFyV>ThVo~jN7lRQki$?oyM!yE&3`d%a5)S z6E^$3_wJA9s;f8I?+sB6RGvG3^Aq3niM!+u)Z|<@WSuv?FpOEaKK4XJqvuDV8lGp9 z!{c6_ulF>3{;hbHMBAo6z3!%6SK`kpJo&UxyV}J261V7tqnoxodFRSFd)fcmFTC@g zHgZJxq~1C&v*Apqw(ayYM?{||)ko;3HAtqtJa~fn@V8@bFU96|M1Al|Dyn$oZ0H}n zjVb57-Z#DXoPptU*Cpp{N$#y@Y1&`@PuWZ~WSh=R^#IigYdlSvl0@I6M}Ph-=={I> zjnv+dn!Vfh9OB-+sna7rr%t)cQ(VgS*vHwv>tyfuwN=MuPpIcu&++N=BcqK1dTfrb z#CkMsjPJVZFMC|~?@Pw~rbP2=A01>4p3l>iUCYuh^|e?lQb)4UO6h~;sZ$D4^;`D@ zUZ4NthQzCRzoNtLc}It^=hr<8QsRlZ#1$Sjv1VRPvC8H8fcl?~#*@lD4!7Nxkz4dl z_OSc!cQYF_c{w&%2|ATt64Q#^`J}gNgVVEPoNOk^TRZz0Q{9;^<jQ(wOYPu{T%Wk3 z>IB2Fhu2p(CkZ|NeR3n)%GS2h{{a;*g)-}Vl_qM>e_eBA;mf({-4@>;CH}Y)G<WhR zuMN%ff6x2;`}oC)PAfmJJDKp#`s`b?_`@#oPTpSaf21zNyY89r?XkSen;GhbvAs9X zUQJ(X@47`bFK^cY33cZWj5D28uIX-iq&m%;t2Sp{;uB3p35Kxhr!HR0FYK)IV7g=2 zzvOKz>x%k2#Ul2LY@Po;u4L_9C(?A{#m1`(|J&a9!OPf`{a0t&R9o9+Q8qRF487uk z=UOlKEuQylT>#IF8Dh&LJ7f+Ctkbf6sq2tE#dD(kj}I~@WNzL(vO;qHy=K0jzr;7Z znfPFp>HeU<F-lvuN6gK!S7|qYxIRn!`?CK`8*C0eN&efWEK$#J%E|Z4TK)cFMys1= zwr{e$uJDU#neZ3y^_Ne%y~tT|@1IZcJS*+8WQ(LvKR!G$a9rn6;l5|5wDg;thpzLy zO73|T>1<diZ<)TI@4EN4#%&w{8E)dwJ1QS1-JjI0QFY4fqStRdk*uW)_Mc+y-__9i zf0=URIzJVY7gLs8aeqH)Y5nypOdo|E*hDYou3a@LZsxb0ch7ukue0?jdX)2gfg^iP zb9TFs(&FE$X*H`D^)GPRZMb=FpXr3!2lB@(BU96E>y~&-boZKPv$}WHu><=5roGv< zvc97=!^=~yQ-0?M!L4@XFN?Mwo}nqX?%uPTLg5c5o75SdI<xqUeRf>I{_gFw>v;|D z9h>@8IXd@cvBx15d6CSL;tS`Se>OHLD72jV<Q3?s?z`&Q6ZH-DJeybwyM9<H{QP>G zKR}uHNL-3(#lP^n+Rb;$tsb%%ALWdg?ZK7IKFRbL-#o1gf>Sf@Y_BRlv1X(1iwCkV zww+iTFZ*xKk2wqeCLCI6$^8HDho;~BAFF%ozm+x%Z1R8Eb!FegjI(kLB1^uzoU)ki zT>iOnujL|^*)5EAo_anv{v1!}Yzo|%%*8b;;ziTs3D;*C?|bNVWZ&bLGrm=|{wS|M z-?KyX@L66B=P=#0*Y7qw`^)7pBlNw)%a{!}4kl==kNYz>XQ9$>h1(n5mn7@WxpHjp zjswpw94{`Y=ixl$Q+Fe#$cE#IPQ{*(qijtXu{&Z_-qgML)VeY~$!pVdi9{ytd#C5^ z{QjT&be6r)l@0MJo*}{SpK){;Yncisrv6$S)4Jo{o?9Qb>)5b!T~u&qPF=dNY`W;R zDVNSVd_J7cR8;e&_^jN1sXwb%=9roLZIAFb*Vbk5UA)g{X;S@blewWOk6$h+4c3X5 z_>-;tYVPzWpR980R(3lj{rsBfm3r#lBRyS}eNmACZ{3#Y&YH9^uj`R|T=UDEje8U) zWk%eZFmuUsrnNj%_E~LJ(RmT|eVWmXN9$OvmMfe{kWx^-W~GpoeBxk~X;VSU^n-Rv zDQozZdE++=p0e@$yXE<Bmik!_*jr2IY!Z2M;cD`Pz^4^1Ue6e13|CzIBOLObM{3!{ z6Ov`@-&ZZ<&E)32{6vY}LV)>AjyZRN!HzXj>nr<i-)HbmtV!#N+<s<%&}rLQOKyKz zaA}f)iCnQfhv|ir9szNEzt8QNIpN&u#s%}Lwf-D(GYNTiW5%pjr^uaO^h}&@*PrG7 zd^Oy2)l=8t?R%y^`JugMq2Roq^K|oKcFlLc%AQc@yW^YcmsboON3Q%{-?MTG=cd_j z)%jxfa_>oQ-zd5_<YLf!5yQ&ATB&jK?O950^44|*<fRyOyb>y{4LZp0^OmV$-zSL= z!raES%XM|6y;nVac{I-V;qS`A;^ii~DQj5kCyUk}>@FzsejLbl)~YzHKlbtZl-Y^< z`n{abmE75=@>R<Jep9*Fl=DlTzYH!Gl+Wf|bWyn^(YmWpb&}Nom|Xh_Uel+=>}!t> z`7n8o>ywY~mtK%GVR1hpZrWn=!|tL_*4x>c{I6~}IT;tZccmEp&Qbcc&P&^bo&VtK z1!YHIr-~m=VQxR1!qR>?g|+=~3fuO>DeSL}v@8sbj4g~zbPbHv4Gh#Zx%7SWQ(O{D zQWZ2@tc(l{O%2SAph~9Sn9hEZ(FlC$@7~Dh{6`idb<e|p6ozY;Ji5`BoVhh<;dk!K zr?*_5dDUi#fP%GR=41ooyYc&v7dFIjOwqi>HPJ%lT=~AwbGu_-?Kq+o5~Q@F+ube3 z?V|?AQG1(-Ek<dNJC#B>+*Wjl$Fi^A(Q{+lf%aI(oObI9CC<%DUIl~;9@B^moMPZ^ zzp7p$QER^v$8x6U4|)nbKNha**r5BT)7z$y<(wnS;yDjW-+45J%iexv;o{L5@1oIr zT&?e^Qj(X<?8h?%+coDLQR+MJGS|jyj!17|%$$~}IUc8vN$WbQOx@#Ls4#PFhqsD* z^orKxc4dWb!Ix1LP32k(^VQcay?Jo@t|`T5ISO;@Yn$?2_7#Mweq{0e|M@&$O-=1L zPMPC@WiOT=R;f7mXvyS$_Io__J9k*x?6&^#_|q%1d6j}&cWwWdB3Jcm-i6sqT4v9# z-upxS=kC)J`_`>B2@J{N`IODhcWI$~YR0Tr4)?3=zBZrSBJryH)%{%|4_(q{inASV z&Jg-_<kf-LXXoYWJDRuKik&ZTE9_c1{mxIxpS*kv*lR6Xlr7qXEn1B&+PQbMXiFSm z-_aJmqq)C;pZ^1=+(YAv2bG5_pEa9Tf17-z+wB93f2aAMXXy*=&xt+ntdrzPlxQz( zxxaZPyYdG`cE&oBPnR@%64%%>KAHdCuE$y8_-7V1gVvX?KVRursBfr$Al+in%&|z} zlVY31{`n7YdjHX=PpwOf>zL70!J_}csNcB$i^wx`9kyrlYPRgk(^H$^^y)lQv-#Kk zk5^xQpuS`w+bl;j-x~Q%@`h&;<$5oCNu2ZJgKXUa|BBZ7hw=8E_2zvxd=qNAl(wA6 zeZGGGy(JSDubw^o^W~*(Z*-;V9d*ld#Z3>1+@I`rgspeZBCWiO7gg=0L?z0f?c=`a zc+7m(=`|0u)R+79ZYf*%?9Yp5r`unvnig@JPUDlme$jDu`v#5R!>g`r;4)p*D)nmG z%8jS4$UIYAeE6vp^Havd%$`Opx~g(diukyAk88v_UnuwWohn`}9%sidXJ>1tS5aSR zc3H__XJVM6n~a|HmOqn{OsaLeE^0aa5AS`vTcX_9;@$i?x;@3)f5ceavWUJu%~n!< z?%kf^GQ)M{6}A5=HW~Qk8uD?cC(b#Me7AOc;i)Xsb?uYvBD<oV*D1dYdSc%4cdg1> zSEhAo*LaK@{id!Dy>utm!&*A#D_e7rsOhr$t(u2)yEHDZd?4HPB52<NUkmA=l>JUI zJ1btT30Obv-=?r%Yo~rneb@RrQ;+LG)T-;EYj{IX-PIKRuD|cgdON+E0`aQAZCmFG z7F|47@H}?us@2nGy5|<Qyi2<k)5v##@92dqDYp5ZT5sK(zxmd>XFCU0q;A$P^ZKve zeEHu^)?f9H&UIf}8+YK}j?+3zZx_5dy7Gale#Rp2xC@83r<^DXeNs~#eDhvFU8LHK zP0DVC9oyFYI_j!3<(Kp0nHT&oo9|Gcv;2n0zU?QoEpnDmx>mo#evhu@8u9kUV)>Ro zg&bb(TD14*z4yAOPM!4Lky<{pEw!m<+U?1ey3xC>r|y{S|9x-$d3Wt(dz;pSr|;ZP zSRc52@x7(z^tN7C>n#0c<CK~es~1vvU#FD&W~k}*(7dlE7nC;cQCjEAVl8^?^zZh` zkJ*>}I(2$k`TEVvE$+<XFOa+wdctbmPg$kZl~!JfxvwVPUag#;ueC>|vtGh3&C$eP z``D_vS^@9>e0$XWj(hzhjeYfJ%-RLNd249Lyf<DK{&Uq5t<_me<@DBWS$Svg)303y zv&0goJdyo(e$~p|U%&62efjd?O)H~+x#(;;Gc9AS%Bj+@V_cz{n|~Ihu8F&_#x(4L zY#HyZZ#;J4v*h)!7EY{V)<6BaVADRys?T+YR{2bszIgRH&wR}pH|DDBYk!~jPFts* z`^0Q>aUa8(zca-Yrr5qyymGlADmbohQKAjkBF-uUiT>InW?zConU|WqsSubg7HhpR zb>CB=CsRvg1fGe=2tAwjC&VaASJ>PBS&T%!$R05j$=O>yyT1k{*1hY$V{B<^>3J-7 zC$rcc-H0=HlVx@lJe*V|a&g*Q?MJt=rTV(|)KA-+5Sii9xv4$O+HLjMzdJNI{)bGn z*Pr^d@8xGNp?Hpc1>L$`cTJLv!!mxnp8Y3xri<5yjiE)gw-?`u-SsrvSTym&`T3h_ zR_G^P`M&4M_5A`ntatw?sEUkg6kWM}(!nom&U(=ne3MKrTQYyW-srtvBEa^SNYAEB zuN4N8g`KAL_toNNE1NS~*Vt5BNK7$1AJt%K%~1TEG3W5PeA(I2$KB=%nN2yxH9Pq& z^X)d-<5FgxuWyuCZ#{G@f>Zh~`!?R_y3(ASZvVGJ+xB*>t-ZaL&(|a)ST$qU`KVRC zZ<r&0&x@3uSz~RKE`Q>d`JPuNuJ9?I`p&qvHhLx7*<6>#xAkEQrYeP;sCBr#?RF6R z4Ib8;Vq8scnG=4CxyVK-i{xB=b!~6TglR|1WW$vOLbUbvP4~!%7r(yHRH<>LS^lTJ zOZu-Z4dtDg^};8#G|WrBM&EE(rfLZL^#G~jTUk>Belu(@{Tb_i<Aib;X98>d=5wq6 zZ)bn_lxKPNkxT2(e#<ypzu$WO-p=`tb{Wolty<20yfd!&)&E7${o`4bb3BUA1%CK> z(2RYtbds9tGRfb+v?p6zCLh_tc|^o6DXBZ)v{v7z>CcyMnbG{|QAS*2V1P{b>u+Jw zZ?2UaWIcOO@>=HFC&fe^J-MaZERD9Mb?s|6)t^^#Cg$|@$F~0&HKmOvaivaI-p(d8 z{qzF%SZ51oGh;I&10zEdV`F1e11EDwGcz+2OE(KQQ$t5rGZQ-n8$wE^XD?*8s`m&< z6k&Vt`P|&<<C1T!Ec<R+^!}Y;w)F3eS$}6`F8!OaY}vGDGq!X_ZY>axV7a==HNa7O z;n~(GkM0EmMNv{)vcz+5xe2haiI&~pe)h0s`MIC(%J=>5oq1DA=j$P*G`o(2YbIq* zP`j$)`pQ%Eria-Csi5u?z2>iOaAp5qy7ZJsJ<GHoYvhf5WQA1qK6>y>iw-(oCDd*z ze&wLaipQH-G}T2@WIuYUdLF70KWy8-#Ccg<>z(?QUw5SM=Q4Y!bv5YfhLem-=bU~1 zuJ+u+>q31`(-V$PE0}8iK4g1uO5*olQ}dK84_*FXK3P{~&YwcXoa$?<cD-G~xa~*i z;f$$jmpG#9e+gZiIxTd2`<n(`@u|;F`fchqnJl(R`dgt|&hIOdpB^`M@lV*h;r(Z? z?-Ro|&EGPy>=I*it=zW1Kkt`KC}Hxf{oJ6;BBOXhh__;f4AZ7x75@T@SWcW@wYV`; z+>)&%CFGKCAG7UUuj&(=2^k@>RvRWS5udTnJmFsO-OM5`jal`V7%#D(*&8~WZ{z$q zis>gUi{y4xN6U(zQ21c5o-ejrv1lUC=g3JHIh5~C4=n2JnOJD#s5e<VWs=Xt)hV+? zPVjulaDBg*?a#jj8DbNoHTT{TsNR)T@Tk^{@%gU>i#X;?ZBb$m{Jw0F#-hjBT`?}+ zs;+W(c3HX_?%+1<I`!b+U+t9|eEAW}rBxp6z4n&p)Wg00+N&INuCMF(-@jm@iQ=~> j(K}YR8vbVQODz<her7Sd46mtyfq|(hm#V6(zZ(|-;>=5N delta 33725 zcmZoZDfjib+=kDLOe#A!e`UPL!J=;Va?$iaO-A9(ce!RNG8tM<PHflMyg=tATfJsw zcaOr1J&TR&F5KCb7R=5gRZ&r)e9_bL=$8J)PajG1lxDpxFi3bO(3*di?YgSVzC7`R zkIynY?vN0x)8vv1WS#$aQ|gMwGf4}?|2TiH(+ai;n*V;n4q<24!&x2+uWv7`dDc>B z^HWc4j)2wT?)NzpTwFeBotU$*S!1$)e&LV$j?ZelOmvQv7<zb3yYb}9A1Q`o2l->$ zQzx=SBsTIWR(?G<adM}+`NBB?NA9R9cDOVv_h}@|SiCjIiDgA^Yj?nVgP#w$zn-yG zKlk?UYR9`5FZ-WQTq)(Z`{T;fKOP?M|NgHvv0bN!S8V(8lRIWquKv)TSnzJG67Px~ z+g~hL_d+{v!H#FAqCRjl);(X=$gnQK^^@=0^q+gyR{!}U@b1j7MY|lNl>TeK?KbFI z7QpiCui7?^o<76wo3~zsMPL6Fc;f8BJqOZ{b$88}S7snP!%a5XGd^<jbEfZ?tUt_u z)W54{I%6%9Nb@g~?Y~SIi)5ILj6eZj-y0R3|M-|_-TnB7?M%xWM9)g{S*BcGbR%b0 zN_S3h$=z=YHHD&<s2rNIqF}{V*)PYx-)R${S@zwvc>mnf<$56{TX%P@+gJVh>)YA0 z^Mz}dE;8sZDlOf;_tfWr)jv)-N#0(4`_rrY2Y)XsSa(n4Y?kct!Ve!0-?v-)ulV=$ z+4)oF)~_=;)nc`IgW=jBO}{GPlY!x{Z@4A0Rj*6FVdYg3wtsy>_}Zq&Ubi3AM7-45 z5xw@|_E!}P?iT%QaCW_$?9IMT|JSLHC;S72T#a@<PGqfprF-phLcFYbQOBHR&CaD6 zyVc+6n9V+QGOV_CMaE1{u9uI5LobA??+d?ZT_d?CYwe?Y1H+$RR0Bn9-rROuwYy2K z<lRnB|3;y_d)DjziM(vdUN^~g{wm-2?e2Lg53_O`UexFAcD`uhzgmayL({~k%%$@V zpY1R;s1DBgu;*{q+NP|fOzonx=CK{!_{8&g*t%wEA2}tNMrqgEkL12L{ym#={nSB; zpsO0vJ8G-*yyhwJJg#@EWw|F)=oru3?{)vQYV0iz-jur+<E4IYKjAd<rCRaaklU@w zjqA915-<JU`}oEK)eljj?7v%e4i(ld4Vj_7fJNbu!>bD_3JcYIlF!~=vXDtjYc9+G zTjCy;vsqYW<eRt5UAi}ufB7!W3Ay!ALA*O=`W^O=y!UCEi|W~?cRe|N^|~iz%Xfb6 ze7fds)jP$m2TOP}<-T6^Gt+4BF?ti=uw!;5@6_OM`B(e?`P^}F-0;$(B4=mLtKI8f zWCf|;SpGt5|BhIF!EeQ}LeavqHdp@6%RY0%g;Dp#uhgS|SIqG*sp+@kd^Csgc;5FT zGVO<^G;%#Us=oWhPra_2e0P;^XYvKr-;Hm*`Sw@gqOZcSS9AUfi8U^=3tKAQ_@gOQ zICaPBe)A%q7vH?soE187`bu-0epmCQS+5p65LKJCj^W4YFAS5{*}W6c<0*KyT*J(F zYYCTtUfAJT^H>D=XV_SU{;~32|Cmor{mkuo{XAOS`eB{Cxi&d<4OdT?Jh^r$RABGt zGw18|W_{c7*w{lXAjaX8L+_^)JyTKc#P3($XU8-xTap!UG|=>?=Z*3e<tj3^{5(BJ zj%{4!^-$VSi~F<5{8u6m?o{ikF}3)HDXsWYcdvc6e5yyrV~MbjZ@W{x8ZSq_k&lx% zKf7~Ho`>dZvpYH$wYDeD;q&fTRHCv{KlYOM2bP7w^#Lay+ZtWs-!Lt)*JPz_xlj7s zR|cjp=bY=ff5y3Y`O=9Uq8V{a*FG>`GCjF`)`N;$Q}{HMb^T<{Bx}9s6%F6~#I)yw zh5wr5@c9fAyWGNd2sSKqH4}ZtwZt`Jm)Ddw)+vfvFC^mbGd3+elE9qrsw!!}!YA)i z*>yjwgG^Ih-KFbqEQniJ%{c8tOGWSF!}l5<T;SALGC5_(nVM5?D%6%PX4PDA>aX|o z_UAKAG|smRU(=ag_xLEkR(<{VkGlMZw-<J6o;~Fle6uHbaTUwvQWfj`fV^|}rYx+V zASqaHJiR(YN$5kGO7XFC4$qiota0^zakO+Z(*xbU7kWAe8EzQQ{awFPZn~!qZ-CPI zGjo*Qa^}SRjdl$Qtvk5PVs}^dzUu#S+8xRnyc@FP=Q!BT+YxBG;UCARW1G3`SKi-V z*X<lC!|6Bov1ja7*4Ha899^-bo7IDL{|4@gy>A#*n_Tbtx;#HvC?6<tD*n7~^#Wy& zli3pIwdNf&V4k|})>S?UHSZgz>d&2Ps8T%kU+9U^zMIxYA3WWr2dLb5kYf5ZzqO)g z$&JMf2Yjya&3Rk(?q+9FxA_&lrny(2tcz)oeGz)S{T-_{N9g{B18Q9NI`>WfqW+|i zVXj=jhhSwUzmitNiQYS-&b}8?7yErAy0t=e=cz^&E7gAA=C7wpZN#_vZ-1e_KYC$( z(x1ndb@)C$-TXq8>#f9|inNKFwevjZM6-w;+FYGCuj760*|h008}B@};4|Llv^J;k z>fwpeM^@R$Zr}U&;p^^s!5b&fYWQDLu)|e@>v;M6Qw9h3F!^sS)V*%zaO$Fc!JU^; zpR7fHAN#|`QR)%r_t*Dp$Ldv+J;Zf1vdgu8@t&){`}vULJ&mA~ES(9jvhCbuZU@|K zymK}A?*3QB4edt1WE3<{-h8WF+037O;H^Pop8lP_U|lIzH_^mHDok&WG05H)&SW#c zx$Hnor*Gr$$GacQh_>%y^edld!<%8ZQ#)dg!RAx(WgOAs^OlCr=(7s8zBm7ovDJ%$ ztX0mc9`U~}*YBKiTI$QCmyH?LQST*GDl;~*R(mn1aj-<F+VU}7b5rOzQ}-s**|z%N z7R$(G85{*_Z?|c$KKFjB?wxrYKNjturkWgZZLYs<xuD*GT4^`$7m|Nta(Dw7%*=8x zI~?)Jm)xGcvvon!?8;{I=}x>4;}(5YJ@xkKi_`_dfimYB^p@7oh|RnFJAJC?yxKL_ zm1e8)1~1hQVsmj@Y|5xIZQZ?v6YKkmHACKNXx&{O&yuCZx_9P+A4*q_FWlPP|En_I z%>3`&^G~j|U5HX^RGO`lZIRM`+rA)%Y3rt6G0B%$UmLSoSH8cventC(_~YW64lI&+ zX%HxWj^))S-Xq&|f28ebx>T>UV)+98`&)EsS~+q<OOp8%#I6goUGR@n*kbg8<!G?i zdf|?<|2`boUsSP%@%opJkO$4HE%;ehgo?F#sdLX-@b-@J?uOGkTV56?-<cP;;b`HC zPmU2@$JmP+9w_qY7u-={`XHNfPU2*#ml;dAfI;N^f+nwE3&~Gzv)iKINpG24zpUwR zLV7Fn<4MmASfXumE;ndDX|a<kHvHN8ykm##ZJi%`nC9<(@#@jKg)wf^{GYO?3v6-Z zJh!l6(Gm4&v1&T(_1u2XYy6JAXibeQlX;WSEblb!_h+xBfEX7)iTmmwzZcqs{W>GP zH_N6{(M7_^vg(BE6*pU<txLTsx132giKyp$7CK`o^ZWXH&L+J2ac4AL5~n;#zH$Gq zwcX<H?GGPyIhr4DVAT#*a$GMVxZ<v2=e&wj!q<))h(2^mH~ydU%Jhbae8(Q+k4L#z z9`8R8dAs;<sTYT1WLSe3yV2V#zMT9YoaTJ*ub(XFbYsRm!J@bBFB=n96>9{j`Tk+6 zIFPxo{)1BVtD6>IIktK%*mho3U~j{`<0{;}VXsOuI`>^j`1L4gnf}s+&xQC}{dXTr zo);5#(u~zScH>m%1If)970PRyoJBt!wp9G=C3I&0M^jBB&lxLoOxoW}D0*Hz!#MH_ z*O^AkYr^#zvl2OHyLwMq{viA2O7>QDkvX9yPaF!b>!;R-cMCPwSDzK$_D0|KvZBFk zx87NS^Y2vstf)wr;m`12(DvI&Lf!o3-^HtsXBEHOd#%Zy!OBtIIc-x2FQ-J=+AX5> zI(4mC3Bf&bJvKX<cYioH``7h~uVHUgez*QO$o%K{z27aV7x~3XoC}x5oMCzUBI)GO zxU!8?&N@xyQ8^U5zy3|x<Ue~j4^}_=Q^T`h>BZiz;tx3@{#z%_|G#b7kBe$TCC;h0 zx3aI>c**hU{QLEvnXmJSNHVsV=s$h)P+)a>Sk$*;ry81Xyy$v-E;@qi?Z)652eYb^ z-v7iu<UhJKx9hC!kvjR4UVEQ3oc-LDvTpK&#qC$z-np#fyBl)rDsy<<&iXS~W4QyE zHzyT8TvD{?(1qs=PP}LS{g)HI=H|2cWbH4pw8ULKYZSjsiZs3X;M!XaC$pY=77crL zl*ObUnWyr3Nwvq*hldp7#npd3`ofxXtVK>MDal1(!?#UJkpc&Dgm@2lDy}>@>Eo7# za(ka`pVN}e;L)NNG115NN`u}C*Qs~f>+Li4lu4)hthjXW_2cRLE9TEMNeS%w^y-hQ z#&?Hz$G!wSSDviVvvpSFbI%=1uU<K)@kc4>hyL<pGm-t9gSsc*{IPS|WwC(nufNXK zyL^polWhxsvEH3=X;y$-iAX`*LMh%=QuYGX<)M!f&1+=iK22A=b*ksDQP?uUjGZ%^ zejMtn&lbLE@HIs$=JNl;A{{>;eY`C&AvZI9rs@41M_8hS*8Fx~C!x2DJ?MD-&Kn77 zv&E)dkC~XX_i51j$v2sbZf7{Dey$IX(_a>+v}f8*gAe=46_>ON^gI;Ty6n$2Bmd($ z?kk$ynP;w?a$NnOX}?F)J{!++eQ5=^f>WoCd^&nC{r<lCPZ0q>UFXKQC-oFr6nG}- z{JZ~f=KF`j-ZmD=g1c3_@3S22-}qR3pW;WR@YTBw6X#6SKeUst%P@=2_p##Dn(J3H z9EDCgOrLtYWb2bzr*FFPJ{Lcl(X@ANibro-2SX%lqpr=YcO}dUi7(ABuc+aQx!>14 zsXOc1&4Q0Dr;B8mx70Hiu%B&xDcSQtj^U(2uH}zYC)Cdb6&F5ubcQvrb^g>HSI?(y zi>csSdU?m4B({`E%sq>y21$m`+aKjDGmkH*n=No@#f&hq`z>o{MbEw&aagw^gRf2K z{=%1+rzNq3yh%)cZo54zPj=_l$Mx9^O3$<w`*GZh(yL-g>ppG#X9wThdb4G=3muG_ zZP)HpP5!-9lXd%%)QuN)#N`gIR-O|4%e(nW*h9U*4<7q%{}%bX?>}+mgo8$dOzz9= z%kB%Z9%nw_@X9bLMYCeg8ppI_m-Sa{etnc{&Qr&O3U8EG9n9ZfAo8HgR6O1<SEOd^ zjFz1`|7(6fs}E?6^yf;=ODQfXN==*|rOC)OT_K)Pl-bzO5Zog>8s$Ct_71^)=ht62 z8*-|tQ=IY2Jel=#OHb*kzg~GZaqm`F?=L*rDuLcXa{fy{-M`HLVd=uOJ?nD5DK%7> z?b@GL(>t@IaI1%S{q7&HpB~*D9;Tb3<s|jw(WlEwEDM*!S!isHj>!|VSJ&TGd3nm~ zn%yy(YbS4C|Nmp%&(lxu&)-`=DbMDzhw_y24F+c?@v+A)Db&8D-Z5b%5BvI_D~B{T zM`Yg6*lP3qX3<mGzilR#I^maQE;;6;u3G<gXU5Kqf;~Q;o@#O5c)GBcEzRb_rvo~g zJ9f;fxoWep>89NA^iwMKx{2%Mr(O7C`)^O<#F;+xbi%!&@8~U&;?C5~WjWf{tz0i2 ztyiQMrKhEnv0uT~m)&$*%#)ZmJ8$$z{d{rf#ok4sAzsoa9WF1lm}6EoT}?h{cGD5b z?ko}BHl}d%Sz=A=mFu@!1U-~F8CLr8gx^Y!t#Jn)Dc#6e!nAcl&BFWB>UJ(&7Qe+Q zYts3E30bz0EOq94tk#&VdABCuU`dDNgs&I1>gPSPh&r?R%g2!Ks#p9XmhUo6n5V2^ zF{ixV{bj51-wdlSTqfUS`R6)?WN8{HizieIF8Nc|!L;YVA~}T(X*RLN6N>}=_hoQo zitX>J>)4*yuxk4=>BNjeUEWRnGrq<B%Utl}YVR!OrSBX$zs+*kVBTaB=@y(ge<Dwp zuW=@insR+?YtNq>R<o9i&JUV+YQc_XyBU2!k>^jPPH%m5;OMmOIo;NJTzUy{1#2qi ztIpq0pduu~YJP3aP8s(}l1sjwWDn529^kp*kg&^)l)qWC7F%4H__vwQMEKStAMbmM z<{bK#aOTGvy;pNw4NQWhigft@x&BJN>V32F?0<8v7e3{7o%P*<Va=X(ORJnq^X>=i zUG!^X_HpI8oqRKeBGq<py{>ZD^zXAT(dSPv=gm(`G;!Cu+R9a_7LwxVv7&f|MXyN0 z+vqQkdt&Dna<Kl~kt%=QB520JW6P&Uemjur7AI@c&U=)LtHnN5!ys|vQ>M2f`>qv> zzKBdXxV=3k!@E!O%U6T?s7>}Py4(66Y_G_PYJ0}QCELiKIq7=H{qna0y5}AqNpq5} z{V&G(JYVqd)a@O<t%aX>Oq$di99fR75Ms{iIsT#bn6KhB`?M1hFGH-%o-EmDzGRMZ z%C{AY|0TAUSLJxP8SZpfILLHR`j!5QeQ%wedmhT1{}cKAyOPHJw;xJ_H0zaPW(c*o z|NT2J)HtZ4MI)wQV)4d@OtyDd<bN(%Hc``2Ng$d_<lw{dcHK`pVJWlNZn=Fn3y|4i zQN%bqYX`fW8|T;BcC`Z8!cG}gqiK&@uDscKK$!U}gZamXQ*)X_y8VPFP4^BsY5k|t zwdB3#<f<L)v2NdrH2GP3{^T2QojhB=*hP?M4xc!?pK3l&O<}M}OFWN3$q9j<J+{&b z4gvc0?hPOJX8&nzJF4;cd|{}5kjLV*#XZcgI6g7)9I#;6BYTxUB)_2W*^N^|hM{jt zRxUn~VtF9qndh|rOiQD=CX;41o7prrbgaG;ALXuhgy-_-lJhK5`?CINU9k1}^f*YX ze!fln{Rw-Hs_6bc<$ccDefcuy%QHFO+to5W{N~@)yvu*KWVrjUyBFU#*43*lv0eV_ z_VEd9Q$Cct+ZMd|$-UP9VS7kiJFCj?TPa#`g+hG{JNIln#8|w@)n=pD>=?a=N_w-l z&8#+Nh+E%OFk%12Ij3JQ63;X7@=V<Gmh;ihqbA<<7d1Dn=`M}FTF$|7plEA6Q{SyU zcg$}vE!p>@N7r?3ex=7flcRjI?egANc<j4haGhIk)~ks1-0yx*$l9zsYx#_o4j${v zTxB+|JMAp}J!AEbFB{jEReCRvUb99>fbC*tt7%}YuFTwd;V&xuH|AB;=k(0}cs%!J zTyeeq${$P`2M^b;xvk{4visSNTxq!<D_1>!b+>2PlEre{E2EpTGD2$am@hiN{lTX< zIX{i!A1B<odP3MXk-PhNsTqH0q-MzLBihq#qz*Jbbajs7XUly3Sn6oUXO9RCd(q13 zHQnpJ2H$yLrB}5gaCgG3MVYIlLfQKE_br-~x?$m}-@?6D_G*`L@YZt}3-D|T5&jY; zb!oGB43AWM|DL|N3$`-LiZH!)zqRMa;X1vY_nv<BmAM=DT=)8;mDvIR`=S%B?+E$0 zRQH?jNr@+-fu&FXb^U(1V@s4_IfLDv{`UH7bC0WRW?OPu@`RY=++x49#vd=%e4qD9 z=&i=A*OvaLmwbryU*lHc&?<RuTK%P(-K%F!+kSR?G@sUwi)Dw7boMp2=<dC?Qu<>5 zYDK-@E3MZlrfpmw%zsIE;?lHLOXt`9_{Y0K@Ys6^ZHdp0cOAALe8=X%GRyG`v!`CP z+|G4n?UQ`{g?QC3KA!wQq)lndz87re3tk?1uIa7zMWU@xYQFpT=NCWP><Z@NQ@Z$k zLjCEo`yw?=9!0laT-<TEwDis~hKA=i#B#rUH~Lj^uT)O*M1cAd$Ls5s2vo92%wwN; ziN8rh^xE&jC3XAm?rS`-J%0L8OB2r>5$!u<AG5!^yvSlZ$Am8F2Oce(i{>9MXk4pd zKh6HpiSqoP&CcfA?D}<Y`|<p9IlQc}(aMRVpqKM-y~}-}lTFTs59~B<hh9Ep#hc7v zZMWo9Qm^gQS&Qmc#N~Kdo!epGc3SeUXa2#>RnOFqY<Awa@8tTf`h&UGI?i98UCFA& z7@73GzvN59A716eeft*~J3CKd_-Vi5)rnI*hF#VU)0c2b@Y-D3sFgE)k#9)DN6{D6 zr}?G`9Z6td-SVoQ&0J&FP2*Dve-z#xo_Ne^VVjDe;Ckgp!98CKpPTJ}c}Qd5`OjM? zYq@Pvb~)ZCTCQ*+>2&Fw(k@*=!-p~{ReDuT`^)8-jNPA?*X>|g*cp1Yz@0}!`rV@q z3}*#cceKXbzu2r)x34qrM{D%&4%dIRm&4femM=c=LHo_^^5$22dh3-w9Q4qex^A`9 zO@WZqJD+dJ^{tg)pONu2yh8I4?;3@}r*}I1VqTf$U%yGS&DY9oX1M@!)#TWH`$b+y zZeueseH(vsf?MUyx8-$(Pbx0;i@U!{{`lbo^BeZ=NcEF4DhY?PowxAIt$r*xTY0lk zM9yK`H=YUs%r2X<k9c(DG9R2%&un?%*bH~g)t{84_3m<5?zq-+W>cm_Rs6eCxpBhA zTPI#fY>k!Dx_nwB`cbaRvo9X&gPgAw-t&rDaUuBqrkCb-i*pZ{)d?EUz3j$ydWYTb zyHnfKSl7)_4S#(zmRIGzozLT$rf&=*R<77`QtH2$<kn@SYL^x)i`xC>h2G=2?=AP$ zzyC6&s94<L;i_}x6Emhyw0+;W@8Dkk13MnKEqjon<hS1M#*dEZ@UN41aIl>HtG3=e zx$?-IO@4WI-DgB-ZFzO}#1W^TCww*>;^D|vnc5vxD4Z>(m2qs&jBhEQUMRTANQzoA zYl`+5C`vnXJqy44pt>l1ojkWx$`fyH?=xv`KhD*cOnTyQxiT|_t8`{<@RAD)E>8@0 z+V^bob&gp*Kc30HKkLbO>g#R8`;T&Gv^qxk?cd>N(X?|mlk=Cp*6<$b7k%ai^+!DZ z#vb?R33$eGMKmYq{xn}l$IaY~etdhF|Erx_9cH?b|GJ=(y7jS|U+wiTC+zOMl+Gbv zWtPVHgzb}jYyJBbQXvP;)7~U1w97L+TKr%`-J8F~8O?^bY96~POr6zY(tc7Uem941 zpSqmFD*w>^^IQ0uwc45IFF#!n`8D!j&w6QHwtmg;uiq91+dN+J?fu(lnnyiUqK>Iu z|37nST|w`xi}E|#!ujs)e%o@wT)IJpDbOl`^9<+4=J{+(=0AB@?{CSj_-^8M%ky2+ z9Q;`3t$Pw;y8eFSj2}<JYV@vGyk4_s^NN;b5Be0hH}mtk^3MGK=;_m=`QjC98$LKO z+Ljaqdr#+-6?=X6==uFix_Q4ewk<rWpO^V0Z{Y#|m;2ebb$=KC|D-<6vT@Z%r{$7& zkDa^CnH0(QtoMb<57t-Nr<T2~Uu?Z??%vA`jgH?9dTsvdK7E+pS+%M~_TEjY4HZ)s ztJ)fVkm2D{kMuB+w`cq*QEjs6cU5upwLdSK>({&KS*YtiII`UK)$RWMMyJkk%&L6Y zmd%>E{VV5^qCMW_@xMOqp5lG#?!s=%JJD|!Pni>XXZlIUO_vYbAKI6A<@{k;r_G%8 zbuLm{`J7v3=<PQuVEwT`{mrz9OUH8y-&t){l73U1mT0g?>fbMM$qPIGs4{p|sTp6p zaOTjhK4sz8$=2EO2fqGrof0Scalf6)#$XTO4GVWmE@1c6)oG7?zjO7Epf&xu|0Z%M z#qBZNYyPb9OWo2Cfn)OmE++{G*1IjA`C->|(|z?VkM>W#_*(I=X5;-w!l$NeeJkg< z=9T<~TSar81O#3Gbb+xk>}ZTk_Ux|R-$PIHTJzNfbe~_o$2Yjd_;+eb(UQ3Vvn@L3 z7|A6Sy|X@5(qAJe^L_RrwZ3vEz1N#|X8O%5&i^JV_t0$L^H0@^SJbrK<lC3NvaS=A zDLm_|ns)!0BKwqo;@3jve3}lLBizoI!?>EWeyhxaTG2}r-mX}FC}Za4$&b(f?BC&j z!CvDiv+&D})joR_gmnELrm(hsjN22(VEW0_LhqOEL$lLwjcbKge0dz<wM#eFx>Y0S z-OcOEIq&oSmiwc)epislAAwnmmmhhs*hzC?R*~z5W41mDg<;aK=8M=c%-#K<H0#;2 zAKbk5J63Dg=ZP(gVDVn!x^0KaVPlC4Q_2;VJi8+;JKex}`>*qBs+N74X|k;+{TyG- zjZ}-v*G_$&yl?C02~*!*pV+oA?640{FxRT`tDhV<iSZ__US;R))Sx0(rQqJn=Co<j z@ze=98ah?erTHw5z7BM>-90f_!qkHE&%rxW@8!n-6~DG^-&L;ZL7I$0?R&}?x9=%q zS~5w{%#2IlCp9m<B*RF-$k5WlY<eLlvlz3HvBC6-smvPF8y2#%)ZgA@+OvCi+cC~} z@7{^sSv&E;g&n(hvo-DB%~sCwPH_jz_6Pqa*1of?o?m=_|NVpmhjr$z4ht>)p5Ybr z)SpKw?VFl|XSKBeGq<v_zC)bj)qB@&^tDcP6wP>;l>CMzAvGl}&3(ZVkzyvP<~48L z9_e*-h+_~xA+#WctLl60_Jp+hXO0V4JQ&|L_`UZsZs=rpVB|0g`&_`Vi6NusKhvpi z=M>n4lb@HHUO0E?6yJ}JcU{liJ9zlysY60v)Y+t&56}0g-{UIDxarukYv1zDT?=DK zwl;3iS<IyDaPi*T*bLSM8@DshV9@Q&J;V6z8H4EpF^9jCTVAO*Oj$K)*Qe$EJ@4xq z?RQ#O+_3)3FTT+~>s8PfhC)GCQ%;6}W;unW|2*HGk2hU#*Sem&s$tQs-T(aOl^?c$ z$0d}dly&Qrkd9CNIfewr9ZYlQto^s-uYO+0`!fvn!PVua<(szu)n;rsCd5+oAT_C| zxHx%BK_Q#rE$c`Fs~dlg`k%dYO7cP4`xM@a`g$wI+=hD5V@J=u**izU`@w#uKRZPm z_Dt2ha8B~aanDQt;=OKv587wF_q5}GwQq487v|3}`)|Z<!QA+N;;~CNe(J|prlwXl ztlc<OmLnrQC;e9*hY{OO3+A4GllJ~+I=kY(s{n^UabeM~$<7b!L;e2yecpe?_3eHC zW$BNfCcpVsf8RVU=lP>IKl^X}dA`y2?b|tP=Qy7G-^IZ)Bl;%8{|)8;iqpf&>Q9Qe zh@`5h+O0G^vEO{2Y;)7!>e4q7j_(%iU-SQnu;BFPtOdr!Oc50~7|*=-)k;iPOUuvx zeeRazL;s&g*4}%~vZ?%2!ms_kxw+}@)1H6*A21{O=FFM@SMHrz-_1OE*W9U#xdYt( z)StQU%29t>r$K?inBfHn!>-v;Mt?njgzx_&xcQIZe(^)cPanL;n2?wAnCHtzBldmv z0(<84#x^ocn9P0Q`0M#y|6MqAVi=6IgD+IC-rvuCDE?yVn=@}2ZT<`GH~txa^}h+@ z+4#l3KGyo3ee*WQh9S+Nm_@DLwfaJ}_k}<IjWhpG^}clUnA^o0VjiFCvwq!gJGL#% zOzwZ?uXwdt2kdh)Mc&TM>COG;o&HoO^C_Q6@HVEIQU6wN`9JA&L(l!+@kh=bV*632 zTi<!*f6tV&)$jMcmtSrFn)|?kLq|Wx@A|&YWa~C&D{JN(H~$AQ-sk=p-*bQU|91WQ z2G5U^cg*TxyZb+P_Wn02Z+zaKUGqkVVU5fjhGcd1WPX-s-{TUVK47TWxW{~(<b}WM z(-;j5Z{2LZ7t6q>ry$?3bFc8B`P@&RFc@_H5&YY_BZATAQoV8+!{j&n1;6k1`+C2l zUHU-Er~EH<TxyrU8yfuE-qJc#@<7Tr{u4|IO#kYoH*MOu*Eu;@{K9>szVeAXr)qzY zw|j4y^RG?kz2Mt_jQ5pq{Xg6AT=wSQ!!w`Gopjm$!EejC{~0YAeHQEw-tW$~^X7l& zv#be4KczSAdwusm<9*}mKXZT1U8;WJW&FMWmF=hMzukyuKK1AO(tqch{&UweS>B9h zHeGw>Ui_VZiHj2Id5Sg_T~Do>uQ#py=kN3X|1JM7&#Cc0j_3aeG10B%-;)y3Q&|l* z6z%`cbo=+Jq{PIhpMLVkU#@?9Yrop>y}$p7|BozTP`DtQ(e)wu;=L*QKZ>J@GiI)L zIap$8qRRi+Q?+lwv>c`@{XH-FoNPYc$u(LKkm#_wUM+Ot-aRJk_vP1nHN<WdOEuVb z_H|Ws(bX$kXLfz)+qk|-U$Lv@#CI89x333$T2udj(%aPEzRB|EE!8)hXTIfpE6?!i ze*cjQt6lO*5$g9Z)Gwd(a_aW=&m4D)p5449!SZIp4*kV~B|>`s<xS1^<TgB7)cf0| z?!r0Ar|pkwrneTpczf*5dXo)D1Wwgg%{k~+d-O`*i^akVjo(TgjWHApKizojkmRxU zJ4sKA)Gx$4aVG6{Kj%2{A&<A-)8wX8$BO=b&{`&I;xqd|m-VEa<pn-r-zH}oz6y?3 zZqe4uD3<>m5tdnEu%@9%M1AF|!zs)^Cf-=E)R-e~+5BYYJ~i!moYKv4Gr6Aj_+<sJ zsn;rROp3U8@k#dy^(>3&S02<f9eHcBWum)j)&s4!A6<{$NT;xNSwBye*rA>qyljS{ zdP8B^LoFe;_`5zHs=>*OeM=NuvKZzqnd<dr#x{O)#}yp^nZp+Flt$&T?cMS%OKDB| z?ewn1w>NFtUP#Av@0{bMx1?#x(v<68R=z!Ou1Kr?ZpLTJnu@rc=dV2}o;ho#fd28V z{mcr{7sHw^e$Z8Ao@2Rr*359`yMND2iJtjVmV;4L_L}NBtAnkJQj*yZZ9e15*?Qxu zOsL|OH&5-P<koIy7MtGZ8uz_*S&@J4TZL0>Z*G6S(Ymif@y3?>yV6%)ao3e+6`t&? zvbcZe)N(z&7rFI|_c^;x|8m4`?TyP_xkZ-iZ_L@+o0xSf;1-iW-Fg9@{>d%p@8sH7 ze%e^!8+|3QZXMUd>^C_zm$!9z*xg~ZFcM5`laKn!6TWfPmNlve`-2l2=0ED<>tDT{ z-Am&H+oJvRR^HW=&yYQ;oo$}FC5d~j_2e+)#m@UCUj2GqW@G!c=6e0S&PR@{fA~6f z=v=b@>nZ-X)h+KH=jqlBcSZCqpSy35S2jqF{ld50D^w%;nUdA1xa|QiQe^54H4`7L z^E~*=VxG~FMFsD2!irC=X?^2+&3T2)G@&_rc3jzJ@$>i7)&k=y<A3Jz|Mb|_YVv$~ zZ@$x8lJQ=K|CNRNCUL)QY5MxCezs(Dzy0#}6N69K@>*WK;M<niA2@G%-7~padu}ZF zn!7T(Xx8!o5v$Jy*7^=TjGOnxIQLe5yxk-yarNi9YvCu2WWt_1Uprj<y6yjs_G*)B zk&z`*uatx@1ijx``f!Tnd&dG^E$ewV4mhs<uQ=;nFmr#!Vw3&nb!2Xwt(((5`EGrE zH~;gE8}{)1jXj^XzkiC)(%%XJW<AqyvA;|(xHIE{)!p-)MY%?t;$6>n-}=er=gzs> z?R_*y?Fn)3=Yg60Gq~EP&c17YEWc_2N1wt2$tE*nGb5+Ps<KZ%T{bu2S^7Lyf0yIb z3k#Vm-4=a*>F2I><G{LT^+o3wgvfLA|HzlKdsTnui|e1aS7ybrYEAief;q<g%5~HG z+qN6sdD_9qwe{d^txGWlZ!K2d)}N?x#7#JM+VWS{OaE<N<Y}!gUbD&nb&_S;@}rZF z8Q;({&YXCpN%(H%tmi*D%N_4+&#e5%#Lg|T;Chn6y-hrf@g;UbA(u^AD!H$|e5A9c z??Ham77N$H&GkLE^ZonfAME%Xy#B0#-ktQtjj~6U_D$67T6g}nPr;(1&@JoaI_`hq z^RB354=`<NUdT6R(WI^W6e<(CZq18$?PzUu{_nmBrs8yS@22L>dw#BI-oanHW7FXS z=Z)=Te*MdH$hMwQUdzZ{cZ=uyXRQP!5#G-Ki`@BJe=bU7ILhN!?>r;a$hEzC*Pjb6 z5tVhxtws6|I=g-<NF+|UEPCbg*@?=&ypMZbBo9}GGR+j>`Dq*1Wt8#u^4A{4Or^R7 z?*x4U9zEnt<K#PhyxskKCtH-k;^pxQo-y8QuQbn2TUD|(-|319&*}NA-&nn@GVpkB zwqJka^Y+pecE`+DvRGUS@v0XuOA)a3KAztHX0!K8eX|R^rs6TD*IP=aiF`S<-eTXm zUtA%+&sbkoiJWWonL4pfwnB*|?&OYL`)oS@y!wCRRqdMzK9h@<xmgr5^?34!$=Sqk z8wl1oZH(uhrnKy%a+7T8oy#{@?#b}GD;j>|&#`$Qq+-r2D)zTH<dOVC`EuHodV9Bb zWgjMZ9QAx}J$1VGUd^Ld8e_b7Y1TdqNjkOn`s=xBpXDCS{Jj3<p^lQ!{jXxSS!KLD zcWLR%ud+AlR_P0!i8<$!Wor9&yMbV*cK2OV*98;LC^Ed>8W^8?HGhwZuj$6s&vylO zx5wMdtXuKt)2n*!s{yn1Ij&EsUbA)jMCFec>-ATKP7_OvTY2qt(PXm=%gb->I3{pt z=@HTJ80GUZH)r|JdBXdB?@9-;>(lPvud#Q~ZMtBaq_Q*rwT8Z+r@i%zX@X1_>Zi$> z=AJ*itNW1b3>_=EZJu#|Ue1pz{$^~ect5+`GcWVm8c|+Lr%jRUyqv)qp(VSb&E}~G zR4`{`3(T$;ce|eb)j^u^@#Dz)9Kkic-*PwY3lN$+;nTFl1u2(<a;#tZG97pL>!-S- zmAyRtMbzTVhPe^j#JU<nU){KVr`xS0@Hm72LCcJ`nzP@fBduiHyCQFG`c>KUziR3H zityP^_g@>%pZ5Cj;_%BAvLOuC;d$AM@~#{X+IQ92`3U<N(Rz<UIrB5m&Mx|wVObIt zzVw)w_RhU!JB}Jo;aHOWYGF3l!apaqCB7w^>}Q{{+UI|Uo20e*dZqZVrL(yw+pzt1 zpA))$T0=2Mno&EW_{+{b`NlUo*<VFHrh3i1w6@edrvA|OeiIGD@7^Zf(L&dfkG%>1 zozbe2FSn2V{>r>}wI{6V3(j^gjcE$|+*JSUpq`Z9PJz3IG1f|=MoT9twpqX1s^i~X z*DqF=V=_5j+keO2h(mj_TvYCb%~tyR_gI;$$J*7M|B`&46>gcFE0bk7BlgEq);<Qm zJ(Z%qvGZe8eyDohIkd2z_sFLOaV1aJq#V8UQhEPv_D{lLf)7ghqIQ+<&Z)n0Uc>R9 zVb-otfpot0=}YA1yXB>n*B^4!EsS27u&?{3!aRlhy>;C}dm0mcJQ=^a9jz-YQ|KzW z{h}`Xx!?`cofqV@SU>!25NzJ-Y;d&g%8Qj+E9NVH7WK_ikl@^Y=~mgzd&}myE?AU0 zNjz%Z+2jLza@R#$3r)T>ohkbEjT-h(^{sclF8}xbn3?lW!Nw)CO64N=PjT0pV#7Ey z{?^1jg`7+WPph44c9fK8kpKFa^^yPj`5Rx#zdNY3>57h>u|j)@faPZX%$$7A>pmju z%+I>ich2_jn_s%8V-Ek)6IL8@Tl19HTXVL&{xWlo!`t5{PF}fPen#Z-mS)zLt#TaG z9Li$rEqKm3MT@0{tjfw;74fj@M8IA*Z}S@!CCiWhj49aoVv+pw<;ng93f?+lADQ)K zocmMW<UM8KQ|*+tp0CWNwvEGRol)7;rXBNz{q}Gze)lsiY1#59MK7y*J0FH9SB2uU zv!07Hs}+^x$WG)C<H@@E<h1D3Z^}>eS>KD#nEy%TL4CmQm%+!bdmm4{d3EiM%iH6g zZ+IKR*z-cx<73wA;5)wC6A#U5{<!t#eeu}z^1iEYjY=0J9>3!Cl;^V3sp$`99bUY1 zmLm7}BZu2erl`;G{dipGnr*oNzmIJA$4#CYLSY|6LffqC?EU8Kzt;JF70=!Eid(MN zo}JOWLa|)%LFFQ@oApoZ|MaDwN{^bga>hCvjs<Rhufkvcc)5UkdiMGW%xqjKN8=8i z(AunN_gCUT+BQMw%IlUJ<@3ZC_b(RFXsdpZ^)>a_cB3w~$&Gm)u{mpH(w8u3_{vmR z`U<U|TJ?UXZISN&g*!}i6J;+K`0NpA_L%iDVb+}2Q#wAUE&KGR$ZLaI{fQ~(Zm@P} z-46PsqSEG@(Y8(d=9a5mjq1C2Tkf$ry^z1*X6anUT{io0<qqyF4ykjO?ShuNv$%&h zd8=Lfn%X6Gq5Jo&kc_`a*v+L+&XEzF`TyH4nM)bIIh^}Gw?2KD#%a>)wPINk$7k-9 zqTCz&=3Mae%DiShzprnPpu+ASx#{%{!Fg#OFSR-AOa*d0*SqvdovWC&>#OtJB`^8b zBuu@^5RxkU%X~@H<t<Y)`i{ikIJ;Y|vUrNS+Eg70-$GHLqXt>GSeQ#MEz+8IhxzT- z?0{0$4|dNk9{1JjpOn*)u!&itMLsa$r&40g7G8rgg9mbL{JX0!tX!&eZa=H(hG{>9 z>LV=lmM7IQwme#`xK8F#P~wY?+sdw<TUhx#G~a6T>vP}YxOZ_2@@jnTTygEfMYZ7h zN}Zp?giX2QCdnGVaJ6jQ_^of{1=hC8>q%S({GX_XD2DF{<`81juzdc%<=qi+Ym*7# zd&=K$DV=ibV<78pP2OuB{T+-d#%Go!s@$5W?4MnK&)fERRkv}~TgUCK#W~fyzh5}9 znu|mUoVd4RL7K{AT?aqbo#{*V<x1JE+4J+CoWz+ecZzu{LiDAsm6fE4#ZM91QD1!I zx1pKVGTkixNZ-7<oEMAI;+C9vyW<tpzIj)BLXIw|i(~jKXvScErha1aOup}8R?QD2 zx4&?9e6rWdzkWi(ioRd0&CfX(e!JNG^;ln1txU;76_J&KO%qNZ@l(rPc-{XqL$xhe zVOe$6Y_<5u`Y!PYird^PPNgs|yY}`YtG{U9)<q8<_$jO2fBi1<v`FFG#UYpPK4<4I z(%*E_u198BR<wq(+U@HbnI^ToDr}eToNMq(xjFsY(g*E3FK?b)Z}x#{Sy@0w?W6NI z)R$MAKWX~ZcXvzA*^o-VH*K?umK4bQ9-XZ4`j^O-!foC8PFs$x5Z&A&TYK)=0k;et z+0=UTUkAEwTw1l|lU#EBt?J!M8f|}G^0sWMym>S=B=P^Z1^egcXe@tG7<25$Z=Z{& zE8i~G%E*>`zV6gae#gw>Z4YMGAKhZo@Azt~;-Qk$3T&3jT*nRwKJDvM%yQ5U3VUbh z5>g=d`Sjtf)lU>R9qbqGIpkqy=sb0{yS*P%`bGWAOjBFBejeGt?0uh0>%;3Q8y<Z> z7v3Dap+9<a!v4CWQdzB0abf3br|xd&&;P_5&sgpJeqDQ>cFLQ*jv~eZ0{4SduGT-e ze9`LH$vYpz0zN(5&hX6ptliX%4xQ6x$GG`kyqa9s%Ht(tvT#aZ$)kl2Yx8Eep1L=0 z#k&YU<DkbU*w#yS<#-g?y$slq(6!8OigDAj%k76<zYDz$a^B9DdHct_*rg8Vq;uc> z^PKx;anQOqe^bvhRQcTJxZNw9CgXFYdG+6YZ|moohH|^#cM-Y&V#brhZ@zx{x_bSW z%}4jIm1tT&>p{;nYl};@?DOYum-4KNoEk85t&7*KPwcHuDqZ1$Z#(v=sGVy17-iVQ z<t1y(zVcj}!kt6gSU*2#n_pG?A~E%n*7`PI!#&?ux!tg8zs>#Q<IXD|grbrH)jr?! zp1x6@JAP@|!TQ%LnN}LrR{U7B<Vf-Rx*Lg~WGqAiC9Q8yw4Z-<_V1eMA&p^cDm(dB zv~cZvk@$Gct9utCqH`{=8~P;~s~xqp$^XgB>;2sP+Cv5lw)s}a%Z_h+vhUE7l51@? zm4f??&I%QGcxbNCXb;=@MY^C@`eAM1<?Z~k2F(i5PbcO}u5R8{pS0@`+qF}tPyZ_t zd@N$PP_J+5Eyou=M!{3mFSl>ZeV%*brJ>F{-yPad)2Hq=IJw}|n@UT8yK2&t-BcBu zPd{k$^-WoL<hi1^_j?ciA8DKO<1hQ~C~!&;{aNDHXl|bR=cRMH<DNfuPB&vaosK@+ z8rbz(bAFrBO<x|@WY&!pk51N4p77lFzo(|Si_-D1>g{h#k8ycO_w<}teQBGZQ{?5K z2Syi<^lr5LdHwaP%VkM6oGSL#F=g+2YtArUUiL(w%=UEmI%#eTt{A~jf1Y=&6nV7l zs@F_`8I74Hh9a5En3LB%ENwTq!1M9iu@4K6zWp_0^6lcz1OvV6{jUTJ-Y($Vkx;+9 zU20*wv*GR!7gkNXB>2jWH>u(D@@YQ=-%Pu+Jnrf?z3T}F=4=({Yg#e)$?0{6n^N0# z@)qix)_-)ZA+GkupGdwo+qWwJG+aenqXdueM<i&nD=iT^qkI3igJ1l{jKs7S#dewO zColefo;JUt*z9iI|5?wc{l0o|@e7L|#tG9W{;F>ZVVbQ_`Qy(A%g>iw+U;h}O5eMc zT~qydu8-jU3-b<iS4@4CeAe-I^9;xDCDnW1uRNU}wNn4E(sPYDX|tX;_exK^x;AI_ z<?PnWTRfu^HJ3g;Vs!F!pL6s5sNlYES*e>*E)lcbET>K2yB^zOd;ZQDSJgXOUN=h8 z<<*mFMQ=>At3Sf1woovnJ+=8u$sOLHllsq^A9TJA-sPg7<ao(S`c9)#jac;B`;zaz znd?Y%hVv(VzIi*_?#7)XoeiNqJ2uUif1VolB5+Q>k8oR;_l;STF5i%8PyO`Qheu%M zZDFw&M{3l5zfs>J%<q=ba>L=S)6^Hi^M3wmI1$a&QF7^pMQ&w%#A}O7rv-K$*#7&G zF2jqUZ=bIoSg?OvZFOc{<%1(^KF!v%6ZYmj%<AMQ7Vf?qzx2`DdlsxurZ{w6%{syw z!kEP&{Z6l-XX2lVq*DrZAK%;aM~ZA+WXc@6sdnQObHUroR_;%;-P5_=y*83XVqOln z#niix9^}{go{4mR^3X%S^hLesiyO>e7BUFGlKb>x&hy7gGt#5uqcSh~>TYA#)A%vN zPa{lj-jBA@kMl3yj*(4dnj>fQ=fRiFem7L5h5CPb9JHMyoyBf)GdskUZS|)eu?Bs) zFC`Z)n-x>;{?>6{`sqJgJzc)&o|ibY>R^zV)mb$b{ibPqKi#@<aJt~NwvXP&`Rb!C z-PxjO;v*N$_<VX$j`iAEuE$T^to&P4+uG<i|J{pz?-ZVRJ2#7C7x$dhJXW*0{nx2) ziu_OB-IUY45f~E@WM%gH_MD_uO-oks@0~iSt0(C1leT3%%o*Xea~6Fm-<2G#Xd$`m zm|pjBo1}Br91r>a{}XC@$S$~lk?hK*PA|0|^^z;q55%bC$WE`l5_pz*%G-Z|JW7)y z1)qvsc8u;_=d+b1JI?pWGGDPXtosscqvhOg^{eqGuxY*)dEazN@L~JBcY9rL-<~M5 z>fQeKvX}Np?9Up1U;6GigF=?AcTCaMr2X3tT8O=Ua;g0I<s*w;S@%5g(G6Q-{KkF# z{jC%KC4LgGKP_mY5_D{<eM!xwW6V>p$S?ZNcDH-RI@xU+vx+zC-JEk>G)c2lN~$aC zbVq>tpMA2rQ<Lu4wm(s2IbLh(8frW3V{gW;DKpfrZ4lD`^H6QsQnqtpc9qAZKVSRM zv++<v<=u5*Mt-|e*PRqzZ2#c)oBo4~pH#~n?d@OpwkXwQ-mL5Om+Xb}-JN3(Zs}Pu zlhw3mG5-ytx`eRz;*0Z-Wc;6T`OU1ktGXLyEQ79E?&D<-=ZZR1;C9k|x5AgdI(O{P zfAVdg_(6N!2JXPO9L_p|9liOUz2A@j5&IcgQ`&6Ry-4Z%)zbMlZpbvw)LpfE(hJ?E z$M|M%GXEc|&2Y)sZj<(T#hdm2`V{k3lTO(!-@R!;%VQB4`|$a3=c00$v|jpTbH*wi zc>l>g@Oqic?EU_E!f$kIV}43~xyPa<s$Ti*^Sy6A7N3)6GH*U8C^fsnMn8Ya%7mKb zNsY_dmq`EM$YTH9z@4vjhxNhLZQg!s&K)!E?>JJP`2ESI+WO{NnO~Rv1uZ{h*2~4d z?38x3;FjK-%+##w{`i7_T)=|0b06zJcs6^P;}>iBdfUmDfA^lY7u~pf<BdsIZr5`q z)E_n2xv)xS8P~zRJRcW$w7QGyAN+AN^tX4-$@(uZ{Z0w0C+^&y)!!d~a)rdI*N^^i zJr&If=3f`{bH(ZX?;gL|bSq(NhIfU}?bn5;>&->qZOYBOG+%zEKkH8i^MzNXUmsh| zo4wP)k@et&TRM}i?s|D-vftLa@_FTgvNdk*78CXM88jYMGLriCba&7hj)}YV=GHAd z7jaL<cGrn4&h@o5Cv2UsyC1E~;=AE=RU=65{@#s`JudB%UnQa&$ZDP?JV_)~b>5n9 zH?JLU3EU!E|5Dd}YRDGuhi$bC^V>T<Zrkip7RqY*E=Ti_ga3*-Q;M(b*mP!N(?Ngh zuEOr+<sA|+{oe7FRue82^09}tRY<WW8hd$su_#+_>f0y&+~=*e-uJazvo3H5h#h5^ zy`=6VXP8LrQ;kznKRQF@l&)Gl-%$PJg~Zz!#S>e0uaH(%d0D?=<=2Fu+PKH_cBk9B zDSs`A%G|MYqv2<fZN{tgw2GfRsa|Qe!ZzW8fz~XaYo{;rs4v<1<pMWDoYftb@6mgg zb?mvwrF>m4xSU(1asBi;ZFNe*X1{jLa(j2};<fIl*+RQ!UApj#dCs%^qnodL{+Rtp zY}ckw5}ke*Z6@i;-s*_gt*>7!u>09=9nOyj{Mk=FTy$Y($=tr@)pne#<DTw(oD+OA z^k0TohH~1kjM9s2O7Et}pPO{Q>1Rh_fT+xA+XWjmjIW(#R*-nF5o0lfRde5}9iO7g zyQYM&UJdrYQ)9RD;JTw9d!^I1iRiYAFTC&kMni0$gn5KUvGn7GHgi-DFUx3Rt6xyP zSjx0kk@5Cj<){l=3(hSmlUZML<@J@Fnq|tzta26ZcFOV0jHwSczGG=^_ncu7>%S`; z4&Ssk#?@Nu)^>{8yjYieW`V53=l?U61+4#<&h@`l?NOh7u|iQL<)Z7Q>oUit=tXaR z-Lk!Zwwl!8)!OC-bKe@S30a^tdycbe??lOZo73mcDC}>o=i0b@_Czc3@V@;Kt6bK+ zx$3Ty>2Z}gChzXnevvevGkrgp`@Z{rpZ2lCu({Gxpzl!%=Wjjxt<JButZ{CAZ1w4? zfLm-v-rY+R4n0`8_(98p#+_>qMA|<2vv=ub@vn0)cXVFTzx3*Ya^_cU^93&gC-3vU zrWI{6`_;$#U(^4*UUm1ko#2eKs;_5UX57qI8TeRBJbG2P|4&un&}BRAbEg*O2-UJY zV40a?k}UD)`C9A8dxE@fsq5!$vT+vw7{73zgmkdv{h!_`wTWvdE;CjLznS^J^4~r& zY0=*iYFAh5*GzsHB)QAoKKl2Tn&p8P8?R0{`$)ZbA_sFd<Nx}+52{o3%+$I*NHoP9 z_;Ie|+2TtfdQqX)XL#2$JC#bVoUlI9^{m%rQ`s(q^!rjfH~)y+Bz0EvYTQ1t`Yp?u zSe)K)Hvix3%6O(n(mR6n7fWp1s=Y~!pL#1N@4Mr5p-Z_pVyA|w+}kNFVg)`|({}A| zYgrRK;kizn(OXB)-CMhi>bn!2d)jy2OZ51#hIz?(H|63_r|lS;qB$P<&FHXQ@bdAw z^$Cjac7<0niGTm}ac}N)-9u$b7MJcV{ZTyW^bx6E{(1hN#4=WS2+n$-o^dx|-A%ur z6HhMOZGLX)ydMrhr*q#XZc3cAeCqF{_dl2J{q2AF(5|TR4ke5AcmF9p*!(3+y<Y8< zp}@5~zo~1ww>9t^`3CG=+L$UYy}!ie)q<_ZpPuz>YZA;k&};7T@RqOBY5BGG=PUgV zFXxqGVT!zDe=n<m^R19#ugC*Awdt0-j-J(Z$kS&jeSK-Y;M@0~rtP`ObJ*>}sq-gK z3b`kln-<SLY>+r<&bOMYi=K!#ZwPkbU3SK<K2^J$DJ;kOgQ;PkukS0NA71bNtXuUb zb7G%ewTYCmlGYEEBc~nW-yW3iaQN99__4L^$BlJbzrA_|k0~DA!k;GZ^>Ffv$J#<| zllj8Z7uP9WzkW)2qv!L+X?jQ0KRy5Yg*%JwlCalmqs9X5hx4Z&-t=&jZHlSS6`SoF zidMKEJzZO0S{lQ6DQj*vujYk~E4Cj0qqgeS-)sD#J^oc`t+PD0woANNa^}F2_n}99 z^><x){M*hw*4;2HFo=6c;@gi`{(8x$Z{6>Az{dFY%-c_WO%l0Yy-?PAY@c&Bn050k z#*P%JYUYLd4-P**>QJz%_wn4~xN?)~w8j^YJO$@m7Jt+8+o#@LCc<t0PX+JY0e5vc zbj+_k^*r&~PMtfv@$R<l27fdE>XLsf;g7<PN+o>SI5na}zv*-4mgk2areAcneDN^U zbi$XN>?hrBn*DU@O}lqD;-jF4sQ85N8xM3>b$b2(_vOK_g{yuf@82T4(p&rc<{L96 zl^wpC#!;9UadL@z(T*Ry(e;OUB)WC>_WX1$E4}76sbI$0cFAX_k342QKL7Q1Mc<nb z9RHn{*>5C$w7iw)n+|Jb^9d924abX9osV)`6h@yBV*GjG#F9wS?TSa=BptE*bEilp z^3ZAd{=FOP4H*3&U2dq;I{rz|DC2<bkBRN9tNKj1=HHUMlO?+F;*w2`Y3E|A>fP2o zn_-y9)1}29!Q^ZHi2vlzupKscR*U!)NIWX3cG$4?ll+c;rIl*-B__tZOwQOEe~fYC zco|d|;FPzh@xIN{RU6F(`TF)T{jlHL$1D70u6fWMPJyi}jxfd?urO*oaw+P9{M(>g z+uARNZRve{M6&haY##es?WYY4x((f}S7+60D!2YPXrr*4C;hdU^fsBZ`j3_`wqT#k zazDkn`Q#1O{SqlZw5})$L?=isSZ{pTqV!>O=hhsNPrqFrd!+83)}>ySeyqWHg}QfR z<_?4D{xKE`GHYb7O?`4usCRjc(d`Edw`>pjxKPca`Bbymevj8boZbnGFT8g6_S>~R zlll!a9P7ROo@9u>X<k0B=<ll})0rzX`JV(%4hpZ8lF_JL?Y8aMx7qELD%_ioFeHnM z^|_mDxVWub+F4xw(z~U_5gs4cUAgk}pxuI|!?so*wh2l^lrG$H!Ckd$-zR3Vu)O6? zzU<E&Yx1p*U6-)8bzXgZ&$G$5Sme4ZB4^dly)Db?ci>K7{X33@$JphQSF5X>o?E0J z_2}^TQVovowCB1vdh-;GCf(7Up%TP<OlaMGtGiCz8~-cm|4{pt9PB^Kv@`v>Z`vQd z20_Ptb)JtoRioLA9Kx3KIjzlHxUSNnn(4}-u&yx44ad{g%l|drw!JWGq1!H#MxR|F zA6su9yR{>u{r06d#xv@@&zx0?3C_@O<t}Xg@PqSkmfs?#c1GsoeDm8cZL-<A=1{oS z#>c`w+YhhUXBM=<_VBCqQ)U_U#k7^xc~5`V-*{1Sry{TK(s=<UUv7kCI7{F7Qhc!Z zcGcy*b7nF)wNCQ1I-VlTy3Nh&l@RM%*RbDnxAbd;@@fXC$hf}g_|3QbQvDaMza?k( zxL^Co=N6#OyRInhjCeEi%2#4S=IK%YTvN^VL<?E3Ro%~VT$0OV=f~_az3*QQPi%T0 znp5oXQqH8#=lMaG(8j+B7ZSd7IlVo7JXR$&vUAgiuNq~Kmep0HO<v?A9mvmXdd~7N z)0++R6lU%|p(`M}YhlnyZyjl)q>R}5L$mc#L@FL-GcE57yP2#}>6)3}I(=@%mRUdF ztzYr+diOi4m%8Wessr9sEH{y8x$T+6q<{YW%Y!EmX&x$Nu6{0jqwR0$hRcVSuDrkX z*ttK3pJZ;m@>Z_xwiVdEk#p+(^>3<~SXb{qKQCzVr*HeDtkZ-yFS)aUac0h|wfmR- zaY~b@X9%7<x$EucA78~DY~G{I7ZWm5bvwVflA!I)hnarsPA|HXsXOb*8Rx=Jzu&c_ ze*bo6!V1?(e=hJzd)6=dbhNYTQQ)(;>}h+qy$beQq!)I@r1ILQuO1%`e3pLiS7v>1 zYa4fM<Fc>*@lD5z`L&eaY}oQ`rQiFb%iSjJ{uXsT*1%D}e!pyrn7UI7Z|SBdt6xdY zezU%065B@0D*~U?gP2b){_<7%OTJfU(Ap@G(<elkz2_+XSajcO`GXCAJ;Z++@)`6R z8%lQ6uKQJMxo!0=>s7Cx+(`fIWWBk^%1%0L@{!iG%6o6-J$Bzy@N-#UGr#2XO&63^ z9BaA0D#kcoyZO!Xf#A)04#mUb?!V8<eDl$pE%<Yr7}qxUA6tLUid=c$yzKj(ey5+; zj7#ID)y<gDe|5XAOK)hfo%8jYrCf@VFBhH>xe<59Bl3gx(Vtznllj)>^CvpWHZ@Bv zy>U1ARxp=4^R8LkoBk`AhP%Ao*Ajhbp{aR(Zftq6_Dt_7mo@K4F)!5E^S!?4(Ti`E z>KE24_b}~Z^>46Q62rKK`w(B#xlgs37U>6=4e#_CE~tOIG<9lDww2T7>iW%LK`qL) zr448F(qDXL-hIgM&)VeWs}fb2FPnaKj-PI!a%{7Ux4UJF)vQ^cJ+|Cg7c<B4(VN;c z9_g2_ERX%Eu<Oiyr6(VcOMg@=`ufB(yFT~C^wU{VTJCw%zDdcasG48lc=+hb^ffb` zuJe72zZDW~TwDM9k+YM@(QKBa)Uer0g*R{78uMjx=gNQA?i4=zx=z7SX>RW>|1{xH z<<p57F>}`}{B(DVsp6aW`xPE*F86xuW4l)A4X4a`qcitRH$O3*9%;AlV_(9x^e0Cj zluNJ&*XQ#W7jK_<Pu(p*M#=f+AJ4#$z{|%O;}otx5V|cH-%xZ!({{!CxU5U(jvDHJ zWR;r6wrZI{`ZZ63y3?ll{844|RO&8nzw|x5C5z4SZg;%fzSk{rT7{FZnqMm1)4t`W zQ|qODSu2utZUwL6J-z9YP=1-6v2RR{Z!haSC#UB>+W6~5mXw-n$esP-wJloya(B0s zvFr8K8@i8mJ#Q3v+#DORH|>ugKVQzQsYSE9*m)x(P4zp>{(OiKozHc{aIVs9Tkch> zpPlymc_jOBdt_g9xx1qF%!S1ZeC~2Cp7<^GUBr~)S+^go*`j+b^Pmmy(*10nJ~kbF zb3)h`vS0GE2zjj3S^q1;^<#Ck>Gum=Ta^-i%;Mnen_XIS_*Yh>%KwWMejRW4CToAZ zs9`M<kfRa(PO`gp{lfEeE6ndGyX0u7-raJsdcVlG2`qc3izV9pe<N7<>|faZ2d4jH z3r@WM*R22H;lHQq)2wbxN{`Ak=NIL%$l8>%TdMwe>L1mtb1XJSR(D+LkNZXFsMKm~ zzdG~!Q>AD6dJ@}j-{kqWLf*^b>0QQqHl0@2Bg9mf+;e$YCm8kZ;N%%RlM=)xmp|H{ zcimpdZ|e72WxK)$U+eB@r_WvTRA{{rlf{l`-hCYZ1@w3m9iw6-exGvO(_eA)@n0h? zd%ZXT-~F+f4q*!|1@0-&(--+1-B<5plxq86slyJDADcw#>Yhwr%oGvhF+=g$4zG=o zbKe@Cdir^)&__$vvW-787d5R}@zX~_fm>2ZufltueelEkm6gi>re5iC?M~YDL;BFC zCd=Fto&`ax7f+Qh{5((bv3b#?5AO~asO7B;TGZLK<M-!@qTd9c+seO-di2^rPu<5{ zyngfAtfR$cd>cPK>FVEa93-HZ(XjErD?iU40VVyfS~IM)b~F8U4~+eP)sJhr;<<l@ zy#J$(O4P5;RE@f=li@F@7;t0n+8-Z}{#Bp*{<%kch{WmsH7DD})7|Iq->&a}SIEr% zz=KKLcD{a+r*E{Y9-sYpf1K-$4O~@1MNfHl%uaY%f8679;&kzcHye~}RvqelT7AMw z_`av_{uwouOYZ!i5)^l6eg^9onPaaR#SHHCx=y;&vY1bD`MU}Cx%MhNS*X8FHN=DM z7wd|Py)&~!mT+!1FA;1wG4aC$wnc%P*O>RtxpHQ270>m30jD--I<s{1%5J^?@vGUk z`b8JbE~rnKweWL({kh<TDQ!AmBB!q4@OXD>W<pM6dzMMes{8s<nuQMqY;%1#7Y6S8 z{7!GJ%bJfrjvPz9enWbfn*h^MHr2~D(tjU^hQCY@t@%0a&6Cg-OXmBn?XWr*p8A=C zZL#i>IUBY<;oG<QE>o4{R%uhYnd|rctDZEq`A_4*gKv@z_N=x0)?X1{pQp~p8&Q^E zU&Eler*nl<n*Sdz*@OtDrrZze-}U9fd{($SHT>LG#ml{Gk;Pnh5o5jcav%4cGSYdN z8eC$al9qait58-|Rju@S=I#TF-YiYh>R6DneX{wMo7b)8d@Rkah(FAKH|DL4?5uAW zH57bjc$xVmy>#wVk$8OV_JLhz((9ikbhCP!&u)M8(qNWo<CImW4+{1~IeM)=`&{&m ziTmxc6IG29K5De{KXs^Vu2p?lY5u0e`Che%jh0zj%Qe3lncdQk6PL?I9PSQZCv|;u z$?n3~&n#glmbBan7U7?AP3T46(zorV`Oiz=RBh_wo&Qg4cXPhzGRcqaJrkCR`>GzT zKlw#*)%DPi$&>A)nA_i9&fX%_^7328Q$fRCiAsr}@N9h+0Uw!;k4$g<m%P(un-`pW z^79Mvomajw8M+*7I?Y)jz_vExRv7!$eJ0(F3*~3!Mf|<glz&qD({HPei<UngZjTaL zt5>kwBcewy_t5!Q=N8@gysCDxo#y?^MSlZJ6MgDc>-+9qnigvO+mGjriTUnQ`LY}) zpR*0#M^l^+-+uW0)wj5R53XMQ^o^~}ewi5C?iZ!=?&W(sO2sXE&(VE9*mddAsmDaF zdB>lMVwTU@KVQASH~Yt7nVi6_OHZ^j+VxINULdmiYs9;2RTCcX-t*>U?$QYRDMIQx zRvaI<Re1c~u(!UAX~Ct9*FX3zvyo{2Jp0kjbsV)1K14?<hfLx;E3(GUmD^!MgkQ^D z-N?#SpQ4X<&zgF%Del$l&FVStIInQ|Fkf_=p`jh)v@`zi+Ln#|lX`wj-PTS#kP@1; z<ci_XirF(HR8xeyW@g=-dparcn4!T{?R9^Q-l_CBviOLJ^<1%Vs=qjM>4hm@Zy4k| z&pBOjQ+QJUT?OeiLMs;}3QpPmJd3HoS*Ih{`RZTg|M5?qR&D?I^ylZ+pBJi%f34~` z6x|lPqxI0cF0WPUHt9*m++XxNqF?1rax>(deIWABtb3c+9a`FZWX|*t-m-_Xt}oo2 z-XE7{xHI&loF(sk?F||E8-io+)R*?H*|UK`WYw#uuMBr-?7!jV$Cx6Xo^t!~)pr7W zR;YLw2|rr=QRLvVpT@Q|dmFxPU&p_v;wi^#pLCvkhfnB7eQKTS<!HXuy26HGvA20= z#vNnpZ8^4K{nIjbwrhMUYd#^jCf-qK*`xlh$d?Ci&VL=aT7L2`eJ&mYxvDF<oBeLr zcU?VyqxgYo-K|*>e7%i3Wq5)V<$V2DtdaWLH_3?O_2K+C)pu{U3e~Khp0myUU|Q0X z%V~c$W?$61v|~Z}&cM+2yyaKCYC;-AxYfOSWGh^jwg@FC{NT-A!L{_kU+0fmwK5-C zb^ct>Els$#RzG~-iwDn6=bn9HE^+D7s=xkL%=z`!x$5Qfj+QkY=bU$W=ixgQRw?nG z9=mdGyuSKV(zTf>DJ8u#-6%Dr^vTbST$%~3mtJnJo-|EPN2cxO{tzXb;>A<GPb{2m zb#RJ`aIBAtb6H#dS{~^YZx$OfKkLsB6?OU?R2jLmjr;IU&VuhAQT*ob<(60e<*mK& z@W+WX3t_hMdOsEZo1WH}cYKmmS-4$5+Th|WW$U>?>rBg=*XHP(8(GFrE@|`Uwf=Qv ztHwp<7i?Y2%{w)Y?+95Cv!pI%{-W^xclLHYGJIS$)wF6|Xv^7^$)?{})E>Us-kSRF zgn7aoArT&zNAI^eUE3)7_tC0%bvnk{d|D#=yuQDFxADxKw}Rj5eUH~~tywnxy@clX zDN=i8$xU0Zh&z6_!H1{EIc+SS+s@salb&E~TdPv}Q7Y(pt>_o2U2H<y_XR~=Ctka` zW^dWGb8o*MerwzM+1ca%`m@g+q|BC|EU-&CxA)1(%o>Kdf(Jidzwdc(^^T=;qLklF z7e1@D+{S!C^c#cP(+$&H`p@Om+sPEK&y<M!rqU#&)37O5?poq>zV8$2oY%G-f1&2s zqA%Sl<}f|sq;_tg(qBut=~-WI{JL8cdTizPQ$fM5>$rRWZdR0(^Ia?8`88)<-@et# zTv76#ixfWvI%-Vaea5bTYgNFn5Va`Asq@sW1&=y@Ousk(LT%7i&lkToE#1Dc?q+@N z%6viR-k+LxE}lFRkh(i$x~}P+n<5hNw-<_DO?rOe^7*7WU*9hZQop!=1Lw_2U0w0T z4YuFfJT@w>=~92e(D(FW1>Zc|vnm;V!55EL|Cqbb%kF_%#BSMrjr9(z?Un0W<Cch~ zJ5D;d^3{X9X9ia1F0UvpHC^}bp?KWdwW*meKi2>0oz{`}cSd4O<^tD}ss9smN;1>U z9&DI@Y<kSfe0P_eZRHPtNKUd?EwgOZY5nWke;to)QF`fZ=ijL$lydsaj6Z#LPhHl& zF57TrqlVG3BXds}mntlkPYCCUY}UAV>T|ll&XAb-`!_BszgX_LJ~DM$TJaJQTOp?q zp3ujlDQf9G^`5bcagU;7ComL0JDVA%@!COsnJ<gqw3g(Qir?E$u%{-kn(}!TU*OV% zD@Bj}F}}N}C$OdTUSNQ7{`+JnzRG{^HT~z5?cp`se(Lu2Kibdzx4!fDPqY6TrBe_f zvc@cYgZKPw&Zm{XYQL@dd{)x)rs&*u*Co~b_EUo5mj8(JPw%aN>HDN<r|N1Wcj4)i z=X|WWYV&-LiQ9Zfk464lpN0xw2nm>PT4>sGb=vo&e{U}M3OzMq`RtP+qO>6zx& zz_t$kRnN^uo0*z;w#uE_E?Kesyyf)CjI&qI*&%<)bDjALl|Z}0H&#BVUhz?5p?SYU zE4yip`a6>g$&D=G$~&1)_SNU{XQ%v_cS5Gd^j28Y1HQ0Nv(6uTE}Z4PYn#fSB=6Q{ z1Lw5eY45_<Y*e%0nfTerw%0~o<(mJmTG6w?#`ot<QaP18zb|L=8;?huGWX07a$2}> z3)9ZZIocYR&SY}z;g`N-zSGF*)Nd*0@U+anS619>&i!tmajAu=>}l)vO+xQi*4sYe zztcT2--B1e<BxaJyPHXEliqdC5Dctx|9mZgnakN>N0W7A*2!Xngp0S>XUxnIDXhP_ z&BZ&o{qc&OCTnl{-Q`ie%$&9IeXby1DAShB%B7w!nC?n><#UwhS54N*Z8~%*_^xoM z`8B=8SIh3lehc^ebj9b3{h_*D`l8$Al5^_+3)wV(-70d1d3%<H=AloA#GgNN^z&j} zCu=EJl6?E__tt;6-|y7hn126-?$0^ii`IKgdg~s0u(a#`*CUEW5iYKYb6N}2H`Gtx z&1T$xcGe<Wp}Vqbf~$^19*Md1F!Ofc1Mjcl%NCv4$Cb~`IVnO^R{2`~v{Y@0%ci!8 z)pz>++Uq~Bu)TVts$Jqeiwn15+Vk)8RLgkOuGH>%zKrF`xn(P5KUTz^)6|#Yb~-Ba zCBY@N>WTHMWho41lP(_JSm?6-$ceD%cPDrEe$u=2Ek9l*W04Nej_{n~-k?7pZ6|eH z3`yzh4UymYY~Au58aB3<n;*&hUI|~aXAz6Wyf~*iyNf{|>sj|Z?RM>2vP14d)*I=> zx_~lI_F^}tCcS5hTK+F<d_s4c<gd05;+C^d-pZh;(C~D|UFKywGeRQHgbG^i(6Vyh z+a_1c%;x)D=FcnF^}B+jzcGIO_2;JGEOS+kT>isrxFv3F=5IT?`E|*agN(u&$_Ml> zeLqxiU2T(>(%S4wiQf8>`?syGrtdHJz0&`_bZPsSXa)9d<@0}ibThDCKPR<yyTqHi zX?8L*&X;ZB?#V2ey+SId%-a9onFsmVfoUHOGJkxrQ{LF<&Ane;A)gH1<$Sv|dDmQ9 zQ!Uk13}1G;DK6Z)eB-n?f|)zFdF`@Zdsfr%yu8SfH($KnwjA!)-L|Ft@ZNgnS>h4C zMXTfgx~-e|A|`lV&EDIL|BaJR>RtYw-6PHRYqcq#^p49K2RJe{;*Ym)Uir06<NR?I zH}|tF&z<}`PDVDE-DUU8u}aOA>0;sPT;Hu`a(0u*^adv(m6g3c*G~Ui-TL)TMjIo) z#N!2KtmYn~KQ8&}Jw13@EIV7^_0`?6^(ShtraUpVW2<j|#@40i=y7t&<?6QScfX2A zFHtF9_y0tswc+EQ&mD{8pIvJbyq>LHoXURjGpntt%(2+Ab&I0!`pNRz&ge17?^E-M zQFztz!(rdXi{`hKzs_WMeBzy`?{_sb=XcdVg#2!|cF%mD$)pl}e0rDKB8J1u<Q!w3 z&T^>VrlGnn;$y5%_SPNBx_6H}uYH|l^6T0w%^h7u3Ld*9<ovg-PH#)U|7`QA36({w z@9W)R%5}fc{?)?y(bgvZ#ZrCWR<1qOoqsICYyRfYW1Py)+*6zjKP$N!-Fs&y(-8mS zfrv?r>N$}azc#two^$T?#B8O^dmAoXi@SHsI6Lg*)~)q&i#=MjuFra~R_yyudFj1{ zd{^0iyt3;twp(WDa%W!6$*$LD<Ak>F&T0r{7C7G8blmTk|CPc95#b;?W5b}f$82AM z&o8LsIN;ec|M8<Qax*_2nm#$fx{2j<6L%A*{H9ZndQ2mk4{`gNy{*;E4&E(yVefP8 zmURERM|qM)kJz;P>tk}xODmav7SFs^G<WX5bxV}H^`5@Y>CHOuKlRb>ogp$WMCvDQ zQu5WFEF%A|c(2Q;wVekaZ0ZTwkdmSL?TnpAzli0rTTdjG?6TGrJXP+LArSkKlS^4w zE+yg?(+}NuKQrB`b$5$@IXw4-pS|3jMh0Kun_n*On|1%_5#EN><U+Ul(4a@g0rjGL z+(TB#UpOCbp)A2$d6!3Y!V!^|cU9BAu8EqXZx$bU@axewXBOQReAB<Ih|_=bQPivM z^!bx6w_nUzIpz1Ht*^PJ3orb0Qrf=i=W{jv|NDY{Eb69z5w&&sCf#th;??fe`PDoI zkJBu4c22PRvODT!x@qs7{+P_lxhnNfb4^P`F9{fH@|nGE)R<eXvvb2~Z-3c8uZ%bB z+P3+quibBB4b^U~%`bT7>^1f3={z!J{-@B$pPthhFP86j7SZ9{U1)#!Z11i)v1vlJ zi&|xm_pTIru)$%i<DSRoyT4glGI~qZvs_;N!}s{b(!AWe8y-!27q$1;J?8`Kuk+3l zl&gO-Z%L9*6K9Cug#$`y$NM54PFDHyKufbKQPu1v<I}uaw;L@*pIV>I$UemWZ;y0< z*W*S14*D$DQt~t3`04b~^ye?58Uy}LS{o{_yhN-f%KfDC!#ioeQ?2eEo0{tt|6#(5 zXG;(4@BCP`V%xnh6Ho2e$p0V8+Fm$)*+KjF+lmWq`RjAPtXQmm>B6Nm(QmmX?l@-2 zwOZbPlJeF0d6T>fTu&_A(w5A(e|r<#>wlZi&s`w&(Xmnc(?5^KXNiY1Rj&uvO#XVi zBP9B|%vSkte`?(?9w>;t+WxL&!xiD1)e~c746kSuYW!Cf3o<<~bX8%_t7M-`YaTg9 z-_||p^-+*>KWCo7yZQr<&VTyuU3&Z9pWwZVzh9o?{Pd6K+N9IM6CI+8o7s}Gr>kC_ z+rMxB-V=8jUD`AAXDja)JoPR9H;3r{JJ(Y7Py8@r6T>2>Bd^y6>D>ugn7MNnSHouR zUlOTK_l0C5J_^Rxi}2n*8$Ek=qG6a|?O};4N774ItBO30K2~y$pUc?(Mg1L>>^nSM z($`W-+?wv3xf3^e$zz89TPBs5tm{o?(@iw4>ijKKEcNzlhvGS%+|RedwQSTU=FPq} zsoYF=Md3egy*a(pm_O@@Y0ur`q&w@~n)yqXEnK!G{o9_`=5M|;eegK8<NVUNt>=HZ zxz=25G<@@-dxrSqsSSVbYOMR6AMs7`N4<(PyVdgLx^_4H_5|NsKFMfxcP-P$&kqfj z%(Ua3dVNvd;cn+cVkQrER^(ssUz@28N9HOBMm9L{dm?T)-5B9khpEp5X%+2Gb6 z7smRwlvf^7eS+RBzBl$hT7ND4vhy>IW!vVbmnw1}4S7<tD&otjwd?9PX?(e<YvR0O zU81p4{ep0gDO~|x({>(VND^qz+4Npx!tWP3?N=9cDWqms&;1cTOQ^f%TF<H~$rl0! z=C)E-J*P>wuW++ulq|XvKI^OAu4(7wgEE#M3Ys`swP@b*Pb(g*EB}+mBBj8;{X^x& zd0kv~8}u%(J#wJBA>2B)@Ud@|_`4E&vqREF@jG`)a-6PDT|6Q7;W6##-}3J!{kq_p zl`4_D&hMtxrccXQ8RCx~zwyO>zn=W(^_Lac9r!Mr$1M~VZd)eGuasUnQB7_`NPv1{ z@Gdj{^V~~9&VHTsH|I;)|5u3<!j6<S-gGpVxfOZ9!0W;M8A|zurOO(!+ZDuZs@`S` zxTPeXUmp~Beox9gllo21&mXvQGVW@lj_u3Csq-C{_e<^lz}w+{sCs7QNe50>59#-! z@%7I24+Z>Z-xtzalOi5<Xo9Z{*P87vZ@jK7xo03M_W6+Ey0%AKUmBWh3+tRvC%j8# zZ}x4^oe{m8JpOPo@3s=1x<>bGtA!`WjgK=tw@a*f!4;>K_4mYTDfz`3^<B<~XKkxy zKA)wX9_7bfen-ne*p-pLz{M%KWv#PPg-3B@O8MLs>v!Ba`}qFTNe2X3-%OMDIhONM zH6(T0^OZ+cGZuNY7nMb~etqn3*Ky(h2Dzi|d)K9FmUlgUvuKLVfojI(=Mp^&ZhcHw z*OK>}zFTa)$%=}ZC20zF61w{?m94ISJgF}1x9{eO`3GgD+kM+-zb3&az**>y*y9}< z-SeiUUfEZeE4N^6gSyU9o($!ir%&B#oe><=D)41%*~yE4ciG>)boK1oxf}QVc(pcE z<=+J^7q9j=^V2LvFYp|?y!F-xf5H7fJs#z?ZVGu;op#Uh^0qkF*GG#@?BjQ=o+b19 z{|}-1gS8(v#eQq_cZ~k?rcmQwFGsnn!?`M*XI`A!s*R?nFaDOd+n&$3%y{C;;=Gkp z+2%iLxEIzKT+uUq#muG4IvVaA`hAE^IAmQ@Re#*)2RU{vuI8HW=D6@pH=ll5sI#H* z*42Ghk8Wo_&!|~^?V->@<L8yxTehAtWSf-FtX=Dv#8Q9t^o$<uT{~Fj$(&uxRH>6I zV6#2r-J{!Vg4$B19%sLCCFkpO)*kAYve3wWz~kj{bn|{zhZ+{~(kD~At>!p;v}^6H z;kl!h-Z=Th(wJ4<8b#ZxKDwu@UcO4P`X}4LH!WXo&ny<UtZiF5;gFm6HsiR1jQZkN zIkvxITX*|Q*tU4-`l|+sW$~xY*?E7Jm+7rci%LjYRhW41NokH)eri-zrGku-Qhnar zyPQWZ-F~q0>ht2>c9u``8_yIutDXLQ?M=^o1M%(-7I!7dhq1qZwO!Qbdtt@!@RX|J zA-06up}h}#CyJCAZ2A`b&`D=SYdpJ~>qpVW6I|>H3Y>NPEBSMkR@VpqU65kVxvIT~ z<H2rep@T{1n`c`Kb^q!3BQyQXig!FEXXkfnp7UIFuG?T$u@RT!+S`q+EBBlT%2+f- z#WQu&rK@Ut^{yv$@iA!b+0*mm{uGhV+&_cg+<o$YKSPA%@@LZ&yaMf#)27OE)^7JL ziT(2L-}Te#cTXJlpU`pQKV!lf+xi{;>rK!0IW7rfTKiu-M(*OPwQro~J!CzS{vqm) zHdoZIJqC`uU3MDZvT8Q_|1zwHFYkN7*$ZWn4)5fb3P%3^kpFMpjMXhuU#?lV$L;3g zExc=fzx6nweAIgH8<kCa7VHw1pI*9t$ML>-=kMOD|NU-T(|(R)*(n?<XLJ|c3(9{O zZd*TThOj`>yl+QRcRSB&`nN#jtl%~`?ZroH7s+hubv^j|j<Lj=^lQ&QJP7>i*~GMt zVdAw}HZo5A@{hMA&vm`JVD*0aCH~8nUN4^&V7S`xT}U_oSG_kDUz%6s8t?db+Vt7% zM;1)eTN_ovw|#h}IhW_x3aRcFt{-@Qp3L(+ymivj9ohAV@5daDIjZXOTC;Qu<H9HL zW}O?$_jerDd-y^twdKqC-3Dt`)HGymdpX~I+l`JBD^q@_UlzJkVXVP;;rEY?nnC|3 zZH@_EXTGoL-hIy;mXKhD`MpmUH2yyoEA-;y9?nfn%$^>(y*ayPoS)EtHduf9xhr*- zKKZ)wZhK<0W%AsRP|kYeKi)+XP8^rvtoK+Q!@Tvnjle5c_MTaKm*@Vx*ll++db@K? z%c`5vf0HIj^@{&8mP{+L-od^n>CHJq-p)DY8_vjzwA|!4u}mOxla#i3;RRn&e)e|( zvz|PADy5+QhGR|4N5&G92~+LDje|DNikRl@v&3h;>o=<}vyHhn-)*z_UOzQU=U~aU z^p7n;udj5T{CF>7%?#r@$KdcKRl!^IHOob&zx=vB<536;$8v$Ua_4?VX!Wu!3N#If z>3C)ov3`+EbIw}L(;YjNF7x>NyzJS^;Zi$&o@b$lfHV7nwMz1hY)&_Km;9EFnD|lh z*I&ERXf64-URu5LyZPTZ)j7WqSnM2Jx~2Tk{)(O3R|l6Sn;+b8o5S%mPu5NT3cf%3 zuT*9<PrGle_d#qP(}X1!oZ{1TB;Nl?FZp(xWBbgb)3P6Iiu7ct-*h3gs^fAJqu>3@ zE<wf%>^WPePYh-eu5V;Mf6A=0+~G-P-h`t<%ipGKxy#kc=w$5Q=X=aX^v7She_nge z$b9YKKbAP{*%sH0&sRL>e4MVjP?aZ=eaG^x*EZQMPZsej6}r^B{=??X-*x+@EPQyl zOYObymg2|8AGak;(s{Z1-1V+#rFf<pi&xfa%YE9slXvFJYXTwSe-!%H*I&POHlhED z*R>!o)9i-c>CfB0?w0n;-xZqFdiJZQinVg8QIwTGQ-8;4&6qb%2V<YEFkzPX$$tHI z=)~n5Cv1{0zP_`+_om<9K-PB(>$vaUj1bRB%hfs4cBW;@AEis1p2kKmoMX7OA?{Y9 zrTf~quj`(bu8r_t8yzhb>$1$O{h3Dn*^nixu3T$UD&Eqi>1}73QS#@J^0h3>p4}6F z-%<2k%#gCtFrxeEy8TmD{onO>s{8#-)8-VPQw!^_m?7=KpV8*F^u*ER9luuWlKnZ+ zZNa7mkHXKLG&Wf1dGuzumcmwd#}}>J+ZJEFaCD7g-m?DwTC3=rnlG%SHXP8KKiwey z_!Fyo!v_pn`VCeF8<n@Q&J_H#)s9`)$m?#IM_@;L<KLIniXX%4KYDCByJ#oR)(#hk zFDYlkHN!Plo1S_*+cP&y`_DJsDKEdV<ka!`-BIH*-~Z+I7pH0UO+A?g9BwYxejGDP zZ9j8Kz$0aS_FTKAKNqJ?OHtYGBa$cPRsLGZC*1wxpL(v2n-7n&_AwM1H?3-z+4EmM zHQrgRZo072{A#P?GM^SGPkS;oFs_H|c1qokFZYfps=e~AG<~60y|mq#Yl~Uix|&Qb zUYn^bJS<Z>S4=kQ6ECS>-Q*@}5mWxZ^|r{?HS?!#bXsq?t=H;H!+y^rN4LoNWk;r4 zJ^jA=$Eom7B2_W<8r=b#rasB{v2<wvH~Y)UF1<es7m5p?3o|(NL$F@-xB0fn$`Y%o zY8TDQ8n!72m?ovC>oi{b9dYUDzYn*bMOoGe>F`NrG6k#bkWlctFP6lz`Qf927h#9{ zdspuc&^x*_sYHX}(Y{|-<-Ud_$uHZa&+PW|*%ddv?-%?;l2&_^3Dt`Pcrr$C_K4h{ z6f<SMy4Kk{izQU`N*ju|pW|b)J#2q%mcp(j7xXWD*!FUL<MWcmZJ`Iv`c*lcq93}H zM%+~jI@`VC?<8@>UoI;i8y~s%D0}ULW&Yde^eyM8Sl!>w@<1&hCA8s`^BS&0TCBb= zG_HNQ87eQ<bwr8f{c>yT-_w2-)vx19QWXhWr}*QI<oWG~I79=}b`&-0{-~YHYE`sQ z)L?Vo&it)^&TqV_my~w-=T+(Nb_`4*E7E05l}~L`IC!CIw?e@&-Y?r$RJYGdyZWM5 zC3^Phjwx^5eYwhaJ?gD1na3z^?xoS|y_Gq_E;{?wmh7Vcm(8!~zT$gx>%f{52RB93 zKhsg_P~V*KH|)=f2h#m-lv^HU&uB2Ki#mAer(wjhqQ0#`zc>{%QbqJ%Tr}WR+O_(& zuW4U*?;WF8;##^-UMvb$P_p1#BY5-C&iV4!EaI~y9gjTmDVTHhu;fCk72nm3w}0N^ z@A14<@}bCzy(euQxITDm`pdq2cC*6VG~4^V?csX+S8HTiEg9@ZZbsWMo=)1<V%)cB z^Z$;8_bXeo%2bb?%q)%9h!<2+_+h{}wQQ0=^Hv>&^F?mA7h7D)e7oeDc-5_A-OYb% zSZ=TVnp3>nP*i<>N9@c=+a3NyJGXta=|5S1?upG^<6k#D1o~GShj6ZYIZx!JT}=L( zOWQ)@+D#HS)KB^BQkbW8XbMx4|IhS?eUB$J?Qd)`ye}xNJhAVm&5MH~)svUM>sp;J zx_$E<>E?ss<vXt5zWP|{-t#5)Gb0ry2F$MZXtd#6vN>nj=~@17jA9?1Tski)CT(T% z{>fW+p3U+-a>I7vjDj|Aet|#hb#5Je`aL1w=G0T`L{~Kb{c^xduKvdXb#4J0=>;F2 zDzQemT{Baezp1+>;{Gqj$rsLF{%V<Bly+3(^p}?^B@;N5cQfQ3ns?*dDNe49Pq;44 zUBOkund2C@EUzzJe3N#_p--Kw4!m3bgJDnGsotW*P5R{wh0+hF+)nrS=Rafp1()`E zqk1)U#hOf3nXD;}7t6044mn&_e?VPCSY+!apHuHH_jF6|X-oUEnmJgpTkg~Q{H3$1 zU4s_b-sj%8O5=rf%oOq1-}3|)onyDUmw#SDA;BSIQ;W~1P(H5)<-E<86Lo_7at$g| zFUMw>+R1;>Thh+|z~{}iX$5oEi9b}4yb>JC|E~4=Dy8^q-v89+T#!$@USek>v#tJu z@I5aDDN!cj)q4_WGtNJL!g8gTLUQ)535$QPF5KY6$W~(|*HhXlTH*IKgu8X&+IPuc zEsT#A*RBs^&=8G#mw$fhr_+1}(@&o`cU|L?%ge+Chb%wHo(Y}8Wm5Iw!rT3t2cO+> z*tPZUuI;}}d0Hp`w*T!Ge3H4<ax&MRM_)xZh}GBiA2-NwTE*$8^OSqfGKVkxp>5Ms zH`Z6p_H5&|yLb5%x8|MbU!C_ZFElCXC~}@w%;xKVaaWAvVlAC3Pm`24Hk|R#)0<a( zRiuk=iEZba8*g8&xpP{io$uPWD_{PYubW!x;*uDW`}@$mC|CVOhH}mu^M3z+e)X*H z#`xxCLW}b>>X&If|7LV&f!tz|XO)s%{OkC(%{v&9*W>&{eU_7@)6&XUw^ti6<d!lg zwdKSL9NO=8#qUIO_WZ+E1xNY!ulvTYwRM-m^OE(aFYQV^#=X<BaN5H|$)^RTm$8Mt z>eFKUBX6W0aAo=2j*1Ksq1tVWGTzP+T%mGkSHoQm?oWs2OZ9ftKiH_t*S25G=XGZG zja>$JG?u+$3O9-T*=#(I?{tQr#E&IcOBq@JZToQS`{W0;oR3p`a;;xZiD`Oeb#}r| z*7g_<ix<paw8A`|g~<qgIJ;q&kgRp{kN;E8aBJnS)0DjW?-aYmsnW|ag3)i|Hcq~@ zdFAV8FMA52@^hx0ow(}ua|7Ob@qh)h?W`O%Z^W;x7Z>RDTfFL)jfQOfN{Q<Vfwyyh zFL71ax;0}?dx&Vhusd^eKhuLPGw#iiT64Pm@5+wSp8L596OPP()5iJzwGPh(`GA)T z8ZB&OmlZc;|38uWKJcds&%38L<EFlv$aBR`UEx#9JRh6tq-VhsEYerIye$jXGOWL- zFme5i#fe@GEz!q|8Z4b(-j7`(ciq5N^qKKeiN}vMk8uCei8c<N`AXWx<qqrq3Ev+c zxD(JhS8!k18@31Q4zx_)(#m~TLT<;(tbHlP%!~_i#8&Dh?<`jG)qL~4)xoL2(Q%Vd z+tv&F7cQ#$yr)j+qY{Hwt*hRKs#D#Z5__|s*T=ex%LsZiUbC3`@6?o2s}usbIK$_q z%&@-8wYqSAcFX-e5fyqeT>iJtT614$jn<wNCG+TQ*YW#yrAbRSs`>6HnlYzr@$;2V zw;vRJ+RJ;s+3lYCuNjwQ`18ZxfAY4pY<+R7JflH&R;uXR>R*>n?Oqgk^?su8v;e`n z)bhCvzhXbs-&6X2=~T&sDKD-|+E3WSvzg_-Z^o>tK2to!CcDj$QA-g&vB=Bs9V6fL znw-STTefZZk*0s$HtNmJ1sg8x`7(39dBfe*cWjfGFV|ex-J|DSwCKjvrRr^gqRo?! zBu?imc>Cr>&Y#}e!t3_^t8=;UtWf3U3zH}dc=pt&#rv6$?unxM2d8JvEfVL}Ucde% z(^d!GIU0#vE3R}`^4<2ndY&UCQ|E_sFpK_o*8rBzxxYT_lN8X)PZT_QI&yO1pPB4A zTW=~o;<U{^su8wN>gNLChIM@}G8THK|GcFc|Ay=HU7Jj<Q@V#!7JcXY*TNaO?(<`( z_I-Kcr})&OZfp8pvgFG;7gE3d`O5RQMvp2I?C<uJ`Xo6Y)C~)bpK<DK{;WfMUo)SD zeVkY1f1r>*BPeP!$DE1R7MeK9PU%|olkeIB+4nKa8cYhe)PAuH?b*7gf7^b^&PVfV zEz*AIGp?3?&$BT$Zo<TuORCfl7(c3Bb$;&mD^=n%B<F^xGMzUGP482az4Wv^vpz@i zJdcxA+tHd`FMj^eOMScNw7A<G$CJ&M)O(7V7JK;z^s&A;$#Eomvg7PIM_c=@*VOpT z>0hSB-oIz!o@aX!R4!Zz{B%w%YllW>(!_(MEm||Yr1;k=d|^_LdUweAfONo>Ct-V* zrTBDryjpi{;W2|?kF%c5RhxI~X&znv|9QJbea%YQHmg}Z^H-d&-W~sL2m8l&r%fNu z+;rQobT`NKrwof0ZQ}8sQpoPV?I&yN@tCV}x0SZ6GIM#beaE?7D>rCoZ7hz6HqI<M zvM2GzwNiiSG!85OuFi&PmBiIS9p$xKOD{;R;MuR0@g=0=?WfCodQ}w#U)*;6ueifk zVSls8s@^yCd#_n~1~Um{xN^xi%JD`PD&!U{Y5r(pvvJPFod>eCl^<GIy1jnQ=3M?R z!R|_E+J12n86himrV|hUi2Yk!D4_9zuTN-FO;OS8Nd+3y4WEfdKc6T0lGR^++GXFg zL;tSj{BYSaVXJwVM5^ZO{n@j-<7;@^{t0Ez&*80*-L~M5P<?de1b<c|CoaeHQ@dsF zNx%Bp)^FJ4)p<F%ld-WWXqD>&(YH!dq8~}ky~TCqrW&s`=YpS#U*}5hz4v~~Mho^J zo^F5s1J5fS|C^<BeX{G+y))-n8QxMZ{}cT!?aXGb{rgWB%x4dg&;0yzaooM!gb8iW z&w4&pHJK{7^~?iF71t8GdN!}%rL8A^R%+_8u@^i!y)&PQ|Dvb5wGLlE=wlTR23sp` z)uZP^SNs0x&E4W`aOX#V<os{9pMRCTdL-)S>8|9Yv-K8doDYZm_PrWYyz6Cq_*J7n zSJzq}JITBLe&3JXFLN%u@DyF>x_r<34;5Q{6#v@@1}ge!d<vF+z2tiq*VX&=x0k({ zs^xD!r8`;8YR~FK@0}~}a((R5(J*COrmAvS(B}Odv)PvnceAI@+qC*#XPl6lu;}u# zN8wWxT&}!4TJl_`EMw25=jZIt*G_9&c)EAqQlI@=f+5U{UbY7o1_fR@Kl8?I_wMI6 zPKYzMpZ(r&>Pu7~kFKSc+E)JVCoYpGWSy;_&@a^-y4OM7YG>OIz68a`0+%0l*A!%l zzMA~?R|SK(Y#CenL*v|g>z<2LvwmA$Jx}Yg&Gz-BSB^PG&yLvkkVEc=_0fymr>;-6 z*w1pPWBtXFBeA=`)Gz9-PQDaY_wuJx*y}ZK8r+JnR_zPWZPt2fz;Js5ms&@#T}D+_ zr<L93r}YY*@+a8u9{;eY?cs;|*$;1p?3-BOT_ljI<TEL*KGLK-x6!dmS}c(%`24I* z0w4V5sOB%#b|~F&;eY;e+o@AesC_n8mRdP~Zn2d00!0Srr`h|jK1&K}+xaWjAR+zi zuZ`d3Rx|twjWu)UJicwlysK%hx5N%f|K6&lBXWLT?|$_u^%ETQcF6?R_*#DID41`# z-=);(okC7$^kmKZq4S=6H<|YL($@ZN5ngAB^Ng;iPnCpE{IuMW_tx&>4`mfo+<h)r z>t}Cfxbe>Y`aFfgrJHx#n{Z#xxfyzM)}zS^B}QSIx1aAfb9ytUdhh?^OFI8B9N4f~ zaF0ez>Y0-s53-9?`X3*w7g&?`rrA+_Q^l{8xoK(}_lDP5+^d;bcRzGP-uZQkspkEC zhkkS3wpsD6!#mhbcix$(I7#<~E7+W#n;5!GjLdY>_;Ow9_a}i$bAuC4C5u=b^RJrd zZhrstW>a3L+`*U2AACMje5&1C>b{<%LX?~|S9sj6&eZc3_WoYaZq2xuC|l3^bl%N< z%zJ0W^<JB?C4YxG%bW9;mOXZvwj%AqnR@0v%g%=5$L_p!=@sqx+o`mq>f$fH!wpr9 z4jiKK`@Qb^>-H@Xn=`E`GuyPCgS9qmmEM2Hd<RK0vDtxTQSYWP>aYG^%Jh9kt+nQi zo{t)T=5^-_<fYZm`1R|L$o_8%3xwyE)$5+yb=8b<9q+mHKY3=1sq-Ww?KbiL=qX-u z@T-OT0{JSX8|zdVx5Pb=`n~YRQjKG+lP0XOwmtT4+VNS}ZmSv#Z}~KL^%?*C_;(L8 z1uR~qcw{(j`?F-Bj=NFwDW^A#t1{F#8hAdv5T>d6r2ll-Itx+p!@Iiv{5ZnE+{Ao* zR{Q7f`o;;0w@N*;O?00#-}v>ud7jO@z1I(3S{`ICb?{BWRR`{g^G;Xguhvr8w$7b@ z0&_^Hy4>3rsn#=ApWxstHq-p-+{vbY^!|yh8@ayly0fmbNmEwRvRIe#@x~n8+LnFi zlxKNdU3OXBc-t$EMTr9LlS`-1t>J!pxVy9QoJNG!p{v~Wp^|U@D+&K*HqP70*vxg- zi$Ns6;d95v;*QKMuM^f~mxs*n@!@;?>&=Fci$+U#nO@Vnv+d)BmcDhRGOjPKo_;S@ ze&pZd^^=>Lyu4=$DNp?K>Tr{iYmfG=^13VbhF7_&PlPP5>{nTszf&ysfyd8P0l%u= z|8~2mzQ*Q9W{7~9nnb<otP47~1H0L34*mA$U9WfP&nc^$AEcioOm_cgr(mhHrQpxa z$!5i7nrlAm+uqr7-)4_*G~b;+&-QXo4zS;Nd&vYnt(2pcwmbBCRPty2(unMH;3%9C zecb5jgS3ttO}pRL=UZ?Ftn`l$%t)+~IMl;n{NkNaB=hY{N2gpd`sMSUv;L&<v`>?o zb=0OlpY1w}v3}#vJ=+DpZuxii!>8X$Z5!AYND0j9nW(X)@u#pt?Ci@Wy3fmPZijA& zY22rEbFN*zT-_P@EP-W)VrhNdYyLi--pOm3vW79eMkUw%i=JV}fhH%8xU=kM^_jDp zxu3V3-0B`!Y85UuWnoS*M}Ygp{TqMQ$B9a2e2g?(eEfInpS7h6!&Xn;yR~U~)352B z%;`({o2SOtsAbiiP`I<|-^G@ldpPobYE*|lm^D|XY`W?1wr3AFT)Vj4$*0c!q4$C> z53MgglMuh!^KnznuK2_Ex;!-Z%=Q-v`;pf2!}8?E%hL@Ncc<9St~<OrPJY_q#ryk? zy!4n|KT);+PmfcRdX9*<q5GyYPd=*aeog+*D*E0<NNna5)<?%RKjhy(C1%DnTlw%Q zOSK8|?=LMil1crfUT>AR)~(^d<=sy+omkej?(n@Gc%}ZI?(s!irm63qsq<_jWB*5& z($Hxu($=_^1i$_I`&ih8ckAUR*laJCnz2Z1mdYo$uWd&4*KRRqzj*fc<h+U%7j^XH z{>1P<3w?b&;L@>xtx_!8HDanx3ZFY+6B#<$j^Uo=`31Fij_y!AGh>6*{kA{Sn@l&Y zHGMP5*_(G+yCmn6+H3QUzu8xNFf;h&1!?BbTuw_?T=^+dp^>yaYw~(?zr|X*hf2Sn zt?F;>N)-&(=eU$TyL?N%{_Xqyi&f@`+$+l2R+n@n;l{)5&RHkty-4BKVN|^Qm9@+x zX$?c)W)r2fPRWNKD|bePzMi<+L(+Q#_t~`lB{eaNY!AG+uTm2fXE3MW??jndOw65| z5{q_T_iS46Ml0~%6MxUdge;G`upO!HSC)2nuD_gL-gQ6u#C>J!{SCft_Vq7ZT(4}> zN}R^;JZ(Y%!|aK=H(fXNo+z4rok8@_UKS}M_t$l4)%!ZDgkDT*zaP#o5Vhw3<29Y1 zPMa5pEq=?)@l<Xp>no@F42}yEN<DL?N3K5KYv?!SrLnxV&#r@w4iS4qmPCAM(RRr% zvuAc+FZqmF;Lv21e~WbXY$%zSRJBgIe)3gi&i%W0C`85=UTXSQxIf@_$XlaWEom(Y zw}bBDKYm<4*R)34fakN?qAi|EHt!4C)^_>L;BBmnuCT6M&n;oE^3*<Z<FB;7TfJFH z{k6~X;x7j6%@Ci=`A@))G5L=Cij`XWCp{<GHeXInGsp{<)$6ogdqXAa!AsGN@z+); zUt?boU9zLX_%HZ;^7epK=JtS8miB;D*7kr@w(S9_?5~Zqj0`OdjZMvU4UE+d4AeEb z^nLSFToOxC6*OF|j0_A-4a|+8N~WjIU_Z$Sx%g>sWOVUt3z53->n}Wh_ojkx62roo zbLV!xjk%+eCuN>_Yp$Ju^z9r&m4@4C^?&6Qdv;1#=h;|KW;x<o^W6IWPLGA#oOzsB zRj0jtFj2TYvf@a5)(T-qjdZhl9!|Q#?tDk%xz}6FF-V)0<uW7pkxrk&9f3X0vYr;p zD_nyP<<6N>@8!4S%({ppOVrNg6eV#n$D6BeoYA<wpsYLc$0|piJPVffv&~d1t}aVE zt~O1eqCFz$Vd4=pnKq5ivle}p8+RV@<VlLQusyu^fT!iX@&ci*cp<i<>=(5hbC)|y zDmNLM%-{%~nfc(xGmaAvTsS(_e=Kn+@#ssQ@hZB<Vv4eFO#Q_reoK61@*b=SdcZmB z-}CvhKYo0AB52WlsN{j_<Qw%~i+4=!b$=)HKBi8B=eT-(OzeF(Z}TN?->=PH&lGMe zyyJ7rj9(Aq^nZE&dpFC&eY)mlA1x8Kk6W45Pafe8Ht{W6@ZK)A;$O`~{;TF!_v%fx zxM)zyTwf$~j=5h#R$}?R`cLz2{J7!#ukWO$-^PN+8>dgY|A3|HLObJ}Ly~h2v+_KY zjX5M5bC~1aVct83B<v2!-Z`vZ(Qy7j(`&!5mF(|6o$MAipL0mr=GeO@v!}D&(}|sb z{8h3+qo;_A;=fqE*cnaik{llnt(>isz-IjQvGcdjcbg*_HfM+)mJ!=fQ5jWHulVBw ze@!#PGy$g<?Q$*x5AUm26ea7Qv70&fCBKrI{j5XAHtQE%nQg~*llgUHotkv+y4T%? zDibQa;~)F(dH>_(k^h{Y3mTS9&`Ubs{KcI`JEAq%q*mytTur<D2jRE}@jtG2FTTH3 z`FxGa{98=SZ?}EE9>4z5i42<`7JKwf4t=Z7VA*;nl`pGB`>vd;@-@ERm<3vS7cZ*X zXNji7abGaKrQLPZBwcgyQa>)XFN{m}SpWU-^sldD*A3^cg-pi-cRIFerH0KEiBoM2 z_t+TavCwa($YP&U3fy9%8s-v8-z6kG`j;&e*gl{0=J~7A3o}d|?~9s-#@$fdQzLh` zzN#Xk{&Uj%Wk(NfY5U0{xzYFHf~V8BEV_|>u`7u2*Z!<Il^uohZs~tNKfGm;-hY0h z!NNkH(5cFj>TeGBZ00vyXTGEId%-?4zjuaw-f4Mrrn>I@oc4Ih#jF^9)!Mj@sMK2B zmqBODTmG(9d0YBw?XxQ)#*JQ+^g}PrarThzTUWg}aAjS6*5z9w51%}pygK9qn`_BN z&Xbv&-^iZa7_Yc4#w;{!#dXj1D<;Kd_twPiJXkjC^QG-mU*#^%a<47o^E&$XwEo}M z{n_$8%;rAd&5Wi+m>VtoyG-foRM%${t7R7cnqd~cfO&)YMHAD=^Rp&;J-)c0HvIU- zTV7A%ZochqzV!d+i$(VJdtBms?i$>D&HAQi_nir=7>{q0sQxucT;!sv{)&g$MkzbB zW<7gXwrTIwKS3%pHYqO)Y}l4#b+lAxik0)*nFqWlh3`<0P`$yoZ|mM{i#H1<UE9B3 z`pz_&Yu!zm-P_-+`6<3?oksr0?bY3%iau?cvuSt9VIz09({E3%nznXsv)7%U^~-nP zdw#ih<9m7L|CLYQZU|p}d0Ku@`t(g}J(FhJ`3KJQnw}kc&(!;FR9WcRw^O5SdAE4z ztnP>wKIqu`@zuM3e|&VM*4-^Jtc$dBJ9w|=fXcGql(|7MGTecy`VJYDeLeB^>cyOV ztveQdbs2Wk>UMZ(9Xr*m74ZJ<+o$4J#pB%T6HBJP<5PY!eOH#Z<BP9*byl8Q5w)_d zb!t!7;pjMX{>83xZiUw#{$DezDx&`W?}9=@joG`F`yX*SJ<}nZbz<LM!)ueK8qMZ4 zKemeXY*?~x)qy(4+j$3{dwqVarlmJ2|G~0I*}m=O&g<`a-k8F<S72>ucaE{+@pm@g zV*ZpByDzNQP*{I2?84EMr>ZOa1NwGU2lz7=c~@HUs$aaq|NMnxz1e4h+ef@l+`n>f z<8?=gv%AX<xoo@nD1B<lGvU1FGD7dB{0TA2(iU!&H`d!?UKCe6Y0KGDOTJcVn%jSN ze>SscR*%ZtZD$y>-(Ay5dv}p9cK3z_a;{s_A9q>gcpna&7+!yods?g8lF)A#($;5e z-Z%d#>%;k{K0V*L>CVO4IL}Af2cPF9hVAQjlX$+fZU4Sg_uKrIu*Bb1xqf|bmQ`!? zKC@s!w*RjT&$hi%a;rV>Yg5{OprYdEzelUKFr5z0S8ZO&+vc;a;MsA{RYh#C)-#9a z*)7QWa&rE%?v?=W^dn5!+v*+nn7Y4XGOLidH^0a0cAD;iH_Q!|#~HRIpWohhc4hM7 zGEQl))aJ8heT})t<C1x2FDT7fHTPD+<2^0Ca?H2;boX1C?K{4FP0L%2r0n};tDQGX zZ8<4qay4BvYja=Yn%eVg`h51t&8+1~c`F@Pn)0bZz_g!nZEf^QwzHWojKx~@7rX?s zI+Go4Z@(SHeuIbgq8OK$J==kAx*b+q+%ybszPh$JWx}+hWwPPQ0x8;hpEV|4*r&J7 zIopM4dhR`w{z-OG)5A<tHZ3%cyc(%lJHx&6VyyN;s~v5ZcH9kHty9TUP(A&AX-lt7 z(iDcU52mm8{Hd=N*gWT9NUz49TRHvP{?sr2d~9wt=Q`=dQ=^K?3uIQCF8}qv^4qti z_D9=H9?P)Kmz`mkaaX`r^WxbX*W+j2IVYjK%U5C72SM5B7Adznk^MIIOMl8-In)13 zSc3JZ;r?^qZXJl)e{kiz!%M248+1+A$u0b+mHO+%&uN;!^sep6xOcHwbpG-5f6Tng z4YIgW^QMPrG73zOSjZmdZ0u^}VqxlHV&UxSZ0P1<V(jW{XzXlk;bdT7;p*mQXs2L9 zNXhh{3)!veb9@p-*dBa7x7T>P@!H!L`EJd+7Qgk>kCp#^=FeQ@dQs}?rCEVOo@Y-T zTBoQKxN(D^ikWZDoed5uVZ3T)IcwHzm>6-xW6iG2!u#82t3SVE`F!{NpU-xurTtI1 zdR{l_S+m3$t(k16J-R|Bhc4;5GD$SWa;0NRk)v#O;@je=RXr~C37z@B7WS=ljuBEd zd~{GM?drxUM-pc?S<PV6{;A`5%9AzHsV2yC(uRBD59bxHobWMR@pAX!g7bBvIafkg zEe+bRk#Xsqv(Mkvo_ly*pzrDRgIzNVrq0d}-QKq(ar^Hn*Cw+ay8I!0vZ>0PKaO@e z)pu6y`np8$+o$%ni&|%waGL$Dw_T&XH~4PYCdP07x-N-rnwX;`xoKujW366GZu~B% ze?F^sbmuhx-M4Pb)ExEPC38zA_}#cOc|&g9#$5HAj4Japvt<+?a5&D&IB+*be8Rs~ zeS1^*FBmQCb6a*dMgBtM>Pdf>u%Gy*adr~(fdoHUs}0Rd#7|hW-FXpyH?fFABW-HE zoO<nnt^2bsD}I}-{6xdjjjbVC{z~DbISlVauP)3s^k_SwY3FTp%J5RHrEl9wNh2q> zlaWPzJrfIy<~{LjjA3~9yXrlQ!TSCq`#Vlt*$|iaLC#ld&X3ro4aF;$XfQp$JVl9p z-S6Ou+~rSJEz-CX)V@e!QR#k>%8qk)0z=wf9MVp8Ej-c|r|qTiZJBSNWs>UO)sC!t vel73%QOn}HMDpI@4}Mj~@^9^p0*dseM=fEO;We?ev@|s4QdM>JcjE#8+qsDm diff --git a/cMIPS/include/cMIPS.ld b/cMIPS/include/cMIPS.ld index 2d76a0e..a3ee7a0 100644 --- a/cMIPS/include/cMIPS.ld +++ b/cMIPS/include/cMIPS.ld @@ -1,16 +1,32 @@ +OUTPUT_ARCH(mips) +ENTRY(_start) SECTIONS { . = 0x00000000; /* x_INST_BASE_ADDR */ - .text : { *(.text .text.*) } + .text : + { + *(.text .text.*) + _etext = . ; /* end of text constant (from Xinu) */ + + } . = 0x00040000; /* x_DATA_BASE_ADDR */ - .data : { *(.data .data.*) } .rodata : { *(.rodata .rodata.*) } .rodata1 : { *(.rodata1) } + .data : + { + *(.data .data.*) + _edata = . ; /* end of data constant (from Xinu) */ + + } .data1 : { *(.data1) } .sdata : { *(.sdata .sdata.*) } .lit8 : { *(.lit8) } .lit4 : { *(.lit4) } .sbss : { *(.sbss .sbss.*) *(.scommon .scommon.*) } - .bss : { *(.bss .bss.*) *(COMMON) } -} + .bss : + { + *(.bss .bss.*) *(COMMON) + _end = . ; /* end of image constant (from Xinu) */ + } +} diff --git a/cMIPS/include/cMIPS.s b/cMIPS/include/cMIPS.s index e83854d..70eef73 100644 --- a/cMIPS/include/cMIPS.s +++ b/cMIPS/include/cMIPS.s @@ -1,10 +1,10 @@ # see vhdl/packageMemory.vhd for addresses .set x_INST_BASE_ADDR,0x00000000 - .set x_INST_MEM_SZ,0x00008000 + .set x_INST_MEM_SZ,0x00002000 .set x_DATA_BASE_ADDR,0x00040000 - .set x_DATA_MEM_SZ,0x00008000 + .set x_DATA_MEM_SZ,0x00002000 .set x_IO_BASE_ADDR,0x0F000000 .set x_IO_MEM_SZ,0x00002000 diff --git a/cMIPS/include/stop.s b/cMIPS/include/stop.s deleted file mode 100644 index 5849ebc..0000000 --- a/cMIPS/include/stop.s +++ /dev/null @@ -1,4 +0,0 @@ - .section .bss,"aw",@nobits - .align 3 - .global _highmem - .comm _highmem 4 diff --git a/cMIPS/tests/mac_intRam.s b/cMIPS/tests/mac_intRam.s index f63197b..33b5a0f 100644 --- a/cMIPS/tests/mac_intRam.s +++ b/cMIPS/tests/mac_intRam.s @@ -255,7 +255,7 @@ endT1: la $15, HW_dsp7seg_addr # 7 segment display loop2: sb $9, 0($8) # store char addiu $9, $9, 1 - lbu $13, 0($8) # read it back + lbu $13, 0($8) # read it back addiu $8, $8, 1 jal LCDput # then print it @@ -269,10 +269,14 @@ loop2: sb $9, 0($8) # store char endT2: la $15, HW_dsp7seg_addr # 7 segment display li $16, 0x04 - sw $16, 0($15) # write to 7 segment display - nop + +endAll: sw $16, 0($15) # write to 7 segment display + + la $4, wait_1_sec # wait ONE second + jal delay + addi $16, $16,1 -endAll: j endAll # wait forever + j endAll # wait forever nop #---------------------------------------------------------------------- diff --git a/cMIPS/tests/mac_kbd_lcd.s b/cMIPS/tests/mac_kbd_lcd.s deleted file mode 100644 index efb26e6..0000000 --- a/cMIPS/tests/mac_kbd_lcd.s +++ /dev/null @@ -1,105 +0,0 @@ - .file 1 "mac_kbd_lcd.c" - .section .mdebug.abi32 - .previous - .nan legacy - .module fp=32 - .module nooddspreg - .text - .align 2 - .globl main - .set nomips16 - .set nomicromips - .ent main - .type main, @function -main: - .frame $sp,32,$31 # vars= 0, regs= 4/0, args= 16, gp= 0 - .mask 0x80070000,-4 - .fmask 0x00000000,0 - .set noreorder - .set nomacro - addiu $sp,$sp,-32 - sw $31,28($sp) - sw $18,24($sp) - sw $17,20($sp) - jal LCDinit - sw $16,16($sp) - - jal LCDtopLine - li $17,-1 # 0xffffffffffffffff - - jal LCDput - li $4,32 # 0x20 - - jal LCDput - li $4,72 # 0x48 - - jal LCDput - li $4,101 # 0x65 - - jal LCDput - li $4,108 # 0x6c - - jal LCDput - li $4,108 # 0x6c - - jal LCDput - li $4,111 # 0x6f - - jal LCDput - li $4,32 # 0x20 - - jal LCDput - li $4,119 # 0x77 - - jal LCDput - li $4,111 # 0x6f - - jal LCDput - li $4,114 # 0x72 - - jal LCDput - li $4,108 # 0x6c - - jal LCDput - li $4,100 # 0x64 - - jal LCDput - li $4,33 # 0x21 - - jal LCDbotLine - li $18,12451840 # 0xbe0000 - - ori $18,$18,0xbc20 -$L2: - jal KBDget - nop - - beq $2,$17,$L2 - move $16,$2 - - move $7,$0 - move $6,$0 - li $5,1 # 0x1 - jal DSP7SEGput - move $4,$2 - - jal LCDput - addiu $4,$16,48 - - jal cmips_delay - move $4,$18 - - li $7,1 # 0x1 - move $6,$16 - move $5,$0 - jal DSP7SEGput - move $4,$0 - - b $L2 - nop - - .set macro - .set reorder - .end main - .size main, .-main - .ident "GCC: (GNU) 5.1.0" diff --git a/cMIPS/vhdl/tb_cMIPS.vhd b/cMIPS/vhdl/tb_cMIPS.vhd index 953bdb2..bbb4f6e 100644 --- a/cMIPS/vhdl/tb_cMIPS.vhd +++ b/cMIPS/vhdl/tb_cMIPS.vhd @@ -730,8 +730,8 @@ architecture behavioral of io_addr_decode is constant HI_ADDR : integer := log2_ceil(IO_BASE_ADDR + IO_RANGE - 1); constant in_r : std_logic_vector(HI_ADDR downto LO_ADDR) := (others => '1'); constant ng_r : std_logic_vector(HI_ADDR downto LO_ADDR) := (others => '0'); - constant oth : std_logic_vector(HI_SEL_BITS downto HI_ADDR+1):=(others => '1'); - constant ng_o : std_logic_vector(HI_SEL_BITS downto HI_ADDR+1):=(others => '0'); + constant oth : std_logic_vector(HI_SEL_BITS downto HI_ADDR+1):=(others=>'1'); + constant ng_o : std_logic_vector(HI_SEL_BITS downto HI_ADDR+1):=(others=>'0'); signal aVal : std_logic; signal dev : integer; -- DEBUGGING only -- GitLab