From 989b61e10283a93ee18228dac4cf089105e7bffc Mon Sep 17 00:00:00 2001 From: Roberto Hexsel <roberto@inf.ufpr.br> Date: Mon, 24 Aug 2015 10:24:53 -0300 Subject: [PATCH] LD script allocates to RAM and ROM --- cMIPS/docs/cMIPS.pdf | Bin 500867 -> 500642 bytes cMIPS/include/cMIPSio.c | 8 ++++-- cMIPS/tests/jr_2.expected | 9 ++++++ cMIPS/tests/jr_2.s | 59 ++++++++++++++++++++++++++++++-------- cMIPS/tests/lwFWDsw.s | 26 +++++++++-------- cMIPS/tests/lwFWDsw2.s | 8 +++--- cMIPS/tests/lwsw.s | 14 +++++---- cMIPS/tests/lwswIncr.s | 32 ++++++++++----------- cMIPS/tests/swlw.s | 24 ++++++++-------- cMIPS/vhdl/core.vhd | 21 ++++++++++---- cMIPS/vhdl/tb_cMIPS.vhd | 9 +++--- 11 files changed, 135 insertions(+), 75 deletions(-) diff --git a/cMIPS/docs/cMIPS.pdf b/cMIPS/docs/cMIPS.pdf index 8092a007ecab134b226874eee489e3cdfc1d8bf9..132dcc6716f71839a57c6520fa453512337bedb6 100644 GIT binary patch delta 34364 zcmZoZDYxjj+yoUSOQXq&?Hcv1k~b&a-tqBn{K4g>p{9?7Sp466AKU!#*2>bG8;_rC ze!J>uz=R__{H#lC7XSVAg;h4$>UWD9bB4*UJR?3cN0~q0bp9Ru@#)*m^Xo$-S15Uf zOk>-g&2U=dvX(}O+{-0gdEp12Z>anI?C<fTLB~CRsQMYT@$f%h7_U-kk^9!EHM#!t z@}1eb>;Js|x_-a$72a;uH<35XK7Rcs&p0VeD?&|c)q}EKNwyaEx?4C_L>u?{=0?rY z+7Yq*{s*;4IrYtg1=rtn?8}{f?-B2Z6<Prfwx@+^Lc$nluzSySW!=-O_s8JQ!G%g& z@|fP4$n1X?{;vC+$hoc$TWe)z#xDqC(dt{Zqdv$ZcV)?xaM9jStv~;>%8&Uj+pN%Q zq%z5>Rs8Xa!{)Yo*8g4<Y<jTUgX6T$sjip~5uYc)_iGM6zSev8)Q5*AHCr_<Us$(B zIgnxN)ufe&8Xtb&ao^&ya-rIq#X=JM9;^#laV0hMXpPqvjwAWs*3Fz{U99@Vj^o3n znfB6^`>s7dU+-^JQCsJEpSN7&_<qyBR=3ud>+LEou4+&&oZ0Yb^$HJTyQ;kmiSNyS z7bfYdyy_C2&|rI1OIWPIPwJ(Ke*|-D*CZw%jbI1Obgu;#ODFNP?7g5hN5;#eb#<++ zsk8m^6EiF|h3x*by;<mWXsu&k1b>gCb0g=9Y0D;?n&#a!`>WYzXzslIw*R${Z*HCZ zl#*R>c#C_fWkbiDwBX~tdrjBb8WbJ+E%zd5o5JKACdvBrdlw~=E(K5PQqEs{aBIFp z#B-}V{nHu~&pxi+bJgd=6v2GQEB-cnw$<hz|M;OM#dF!mohqVI5t9y-mYnsJ+jZtp zdEUg81<6$$d`q|v{X24aeOZp#-)`0nBkuf@-x+`3x-j!9bN{#WZ8`O4kIuH^dwueK z^W{)3Hiq)`j-D;E0_$s*D#?b=yM6wB{PC;dTXqGtFJpgy$+hd+0`3{xmxu`6PE5IT zYnyQXqXgC!_ijzq2~uEAkg+<w`@(lg_oB9s6?yGpTuZVithMhDd9m}5+TO4|t^8@4 zE&FX-8GClwX5~s%HahuFYu!DqGVP7CajvpJQOu(14{mBxTLse9-PXRXXI^|xr_Zn3 z_(9O&XPPz5<rfbpPHw4gm^E*^+)UMEH6>={JulDA(2y>QdZHlBeMHx9(}!oXCN7b8 z@rmY=QC{3Aw>VJmn})!VE6bkS{ykvlCVZ#7!fBF=TU@)bh-ChYCu_HfPRiywaL(B1 zb(Zh;?6v;v_ug%t(eUjNd&`rs-lBSGb@hi`)7-3G-EXCaJawO}e%UK1q4)kS*~&b# zDQAp~?z5a_*(8*yA$YlAD?7)}qI(ra|62Dn_gZ|6Vfrw4q0(Ho!?&lYAD_!xtfK#X zyMU_k+_G~VZ<^Ry*W|a??oPUK^J1CDv~KRFlEE{Q3>ysg9Ih?0-Qd=4Y<B#!vdsCP z^}8O<D@=Q`F^}EjH@n@-iPrbHo^ssb&zthfsOCDiX^=*b?Co=BPP6U%U@sPOy0W!t zThep`qlsG<%<yM9r|QJb+@E*fIN&#L-L1E?#J2Wswl1u1so%Xbu|e40Df;&HqIEM< zMEuTl#$>DS=Ttu(c{En4GH9BLu*^?Qh6NK}A5pEh*=<nb(y-9@gQWSfo3d?>muMZ~ zEIhj9t?;ZF%YTWgC(bb1-5+rF47=E+;HeW&9)7SUb9(OPWpgIlf3%HIzaRa~YT6o> z6;o$E`M}5Apm@3c=Cn5~p340k8&(QN3iX!0b-1b)%J#j^`su8$JH5UKKNf#@a&AHJ z{JqXb3~5qD85Q+LyEXUTwbV1KI5&lHLx9=y(#P3c$82v^y%#oo?bZF~MpZ%C<B3PU zajms$e^ThH|0T+SA&X;sj_&QPI={m6*UaYaRgd)cOqPk1wD?=6ey%OCJI1$l{Vm3v z?rz<;b2onUKa|X@tQ!`Y)OMjK=EAd>?y0exlf*Bk>oGAdNS{+%&yxFf*;C05{mG}( z<vvXj?Ya4T-^_Iu^XzkGONDRS)AX+OMeY7YmpHU5^|vQJ-<x6fV1J9k_CqTsuIh5_ zKalFv=6%`ZSg88zW6X1}CuyskC=if1xmI#t0i%_Fryzq_O-t6}_#;c(*Rw55>{+F> z>=oB34UJQ$8Gbdd`Ly(Bj3`_ERo|w!rwXOr)%UnNnN&z@HxVu2ySenk%hDVF)=F*o z>uKey{4F_rMazxdhbogb^Llib?Qs+Ha5M<2i}8y#e`CI4cZ9dhp`&#VKCTmesN7ne z*%|%*ncYL?N$$NN7ai*boM#KZQrz<0q_yGjqg3I<JVvYMVaq=m94Ox=eaBI&t={<m z!(6_rTfY4&lK6U*Pd!s{r$O=Rz%ympx4wSrcw$==sikMExZb$z)WV?T{70vcOcT6+ zQ%gy+CUd=1Z|{{t`ETBjUvTU4CMrC$jen%GukyQHTcDxaB)7j)m%m9bP@Q7OyW)w$ zi_cAG*2SF>pFbt~(FDCbxyntgJ9IwIoOV^Se$DQ`c~a|DY`wX|3o;p978qMTdXi&P zKkZ5PlvZYqSC;0-5-vUdJ8A!_ntId66TZbyUGPLe;?k_Tb<Nrrq`mJ81+uq_CuA;O zG5>HH*P37JGH1U$@M1f&Y363#X_rz%8cuULZpnG@Xj=UAmi(h>!Wld7&AOM<S7Y=p z_&!HV=1J4~eUTAr33(eYiEB>e{-dH(Dd5!isv=|gw-lxwNe8~&*d_JY+c0kD-@mCU z6ONp3;_c)tQgGN|{q5g1&d@K)GWxas?;bN+CU7*fNx9fB*Q@xf9HR1l-_1j52cMgs ztbft?IB`zS_xd~hk(n!`?ryi^V|@1`wNr4P<kx%c4YKM#uhn0a7Y<kK?4I8o+;M5+ zdFhUIOK-F9N$PPq9lUt<8IxTV7jCZJuOV=5_oq)1yr(y3cqDRnt>C|&KR@SRgY-Q? zGezZx(-!#rIew(+3D0NyJ#%K?72ChL_q@1Qc*a)AiZiB@f9pL`w(q@ZaoJE*;6;BD zm)2)~{T0ure`PSSN)qXrR{t?{v*rJy7VE2qjvObK=Xh@@`^K7gM&bJjH~H5Gr}`cB zlKSQL`Gm5hpJ$u#j^~?8O}0$=%cZ2WPg44QrhAUx{)A_KPfl(6a5S@T>b5Cc4I+0h zOg`~t&-#~+8a+;1w@l;6^w+Q#JhVrrg{$u3#2y2qkGCXcx7?cPe&z7J<AFI|^{bPs zqgthJFWw(G?Z}M##=n%_8J$|wf1H<pu?9;>nE46T?e{`G+CBYL?pw#NyCnLgW5de2 ztb`8hD{E!z9p4^Fy7_Nc&?b?XYk|&}Zq2NFc=>BPtHdkax?|0Y7Rq`wRKA*P^1PqH zGK}l4!+lnUWx<;BPrc~sbUbrfMX05{Z|&0h`~?p-s&5K^bN{b_;k!GBihtTo`Q0YN z@NrRwU-195qYEN`U+UZMeRk&ild@a4e5rVsIg=&yOr6bl$D5Oj<g0#pEq3Ny9NfHC zmBl~l<B$JO-futu@3#*l$4ZkGt27#qggl!5(q_f(^!fXb9psw!z;oidQx6)=${MvE zX|(AUUSN|Cng4h4|3fKSCl)lZnW*_MT_nR2uq1Ha5^>d%Su7GCiho5;cH=ttkp2IU zDU2^>=dIG;AEnsD{p`wXR)a6^+oZZSPV{}Trk(Z2<)_~B`#ya7&p5aF_2TJ)nvBAm z?{dvjobK4qs5yCo4r~36kGA^{^&4#nb9wdq*Oc9N?<~EMa65)i<HGA^rHf{}H@yf7 z+O{+PzT~-pX|k#M8axqCCdi!o&c66+p6J@TeZM|_eD%w0s<vQjYDm}B$7P|@7K(h- zYR{juUT*eP`{wz#R=qEc-TK;B=J>(CckkE5{(t>({q^li^XL1xNIvUiIr6SPq^EkF z(!P`R_O@}H5-!>g^SzQK(^5CC;t&77lk2mIxA*o$vl8!r63pw~^A&x)81q-QPHyM@ zO^H`#OXeMaWqD9(VxnGnW=Pwj6CJOj_e!r-a(l}E+IP|t$F~+`3pR#Nb6Z;#ciJXE zXUhG>CQ@ev?V1=uuRl=WtTS@Yz9xMmx_<RO^Jxn%zPur<Bi1O%R%{__|7tPw>acRH z7?G!0laC}yJ=L}lNOKZke%EBf&*pr8ZD#alHlO<$aiw3bW(yt&VELPHyz0WSgPRw; zs;ph0FR*{J!;Yj1=MxgAH-ztbJLjJ7tmOxGPF7L4Dtjs`pkVpkM@vI_o$YSDjt$@1 zv#&|H{(z3b4#5MlUOr!cUtX(Vb9dr|HCav}fkHM`RtYOFUOgAa9`*gVpO<e_kAqyY zf50Q=hr)&iD}A54w3IY=?2^s3d;HbscHxH~KaWqCqul#^8{3~Fo*Iv5NbGu@mgHX~ zcxT;dodD;(eW@GNFT9`VlUY)}`$@i%-{CzX_wLW(5E86sWmoy0@P^wr@EhxidwHv8 zm49)*s?_fpBJ`X?WnIzvsn)yp-Ux2K$8a+)*8aiw(|a#pJr-;fF4@A9$}aZg!PU>a z{h?JmwynyFd#1F!^b5OK{4TA-Mw2Bgv!sp%K8oC0P;yc^&Rb(j>O231#T$3tSzvk1 zlxtPF-GLOTeDld=H|p(7-mi#K2s8cs_RN%xJgMb!yO(W>`r3VMgJ7m$+Qc900*@SY z4}C0Zlo9Z+(kZP?n?Gy$Z^mT7b8(XXMw0wFu_kgeJ2&WT=6-x|_66hK#|sO^`Y&92 zQ_}1Fq4lufV^6s~Usxo*g-tx4XA_jp?&-Or{ng6Qb2p5{Yg{f|SYpOrFLGz{JFOH+ zUxm)1UEE)$q@G)QF~4wonuuPFgm+uYOqT{`$+SCfIoTz39Nv2RG1RTvwZ7hwyThM* zeKT)`Z6#0boxPphK6wq(yp@+P)A+bu^`O|DE>16|t8XN7=14CT4=^a4yh~Nly8V}z z^j`G`KY0BoSoSC`-gvLCTKE2Qu6oOg45RW^{(}A~IYE5~O@*hkD6{TZCUu2r{gT&0 zhNp^Sqwf|^>6uyoh5t#t7;D_;f41k@4|QwI{V4r+{-3tx5mTqB@^I~FdATps@L!6m zmHrY90hUND_uccvG*3Ja{P6x`!JEt%XPCql-DiE1{H}fP=_dcMUoxDD;&*N?ZV=d0 zA1t21zNw6Tb4^-x;wuj8u*3W2ORp3Ee@*I4jnPx<L<4U&i?7!oi4|`Y{qr&6W>$e{ z%MqPwnf8Coeno0RWjlW{?hB6Ec|~<iu5H)zQZDsd7d<cB4dvZ($vWO3`L>QB(-MWi z2b<0Wg&52=2>4%WnB~F}v;BbEamlvt7M~ND57w)ASZwvl_wRNw$Z<a_)FIJ!aPnHK zP0X{*SFJ7hwdrNF^C!U&2A#|!KZ-7f#PG+>`JcCSg1|KY10P>_Z)r-l4)dP>Z==ZV zgT~$~>iiepJ;|`HB+{p;^rDF9y;Y6k)utC#6^BReF|&<OzFd5|Ez8Q;c-tbS9UH4| z$X{vrdFbxZ`lg!(q3Mr)zWMAW_UBsS(N5(a7W4V_i=!kMCo8HMN-H^O|9Z39UhDJ% zwizE^3NKS$e8!)(|3r=9<k)G2C5I$C^L}<sV;A69t@_ohE3l;ZRo2>?sR_#+mTQGC z`L%iTjL#kuzZmV~UctR=%b(MCe>cgNSe{%`FztuxBaI$^#*d%tr+-&$i<s$i^4N2q z2SLkN3pFnrUC3}__nR*9w?H&Y<L(DG^&*z0sGm94eY~fMn?5d`c>O~}WzD(CcXGS? z_9!Z!UL5el>5BGA$@Mx)>&_fF6Kme$yx`~7B|#bNk2N3594p(A_vQ2MC!&Wh8vPA+ zO(~kBxuvEs>t3sjkF(fP`;7WGLEBo|zO_{CzW&~I&N1Pn9EQNCB_<LQ+X{2;X|3xN ztmBfmIGppmtej_o%KXK3MLs+MJ6XPRSFq^rR(NLNvB)@S3D3fuuUAUgls&tqb_N&l zB&u|s?iQMS$*av}x5RYL>-Rqi6#NKvZB}nDjJM4epU-$n{-w~5)uu003J<r{Z{IJV zRKwn}bn3$-*SS+J<odk5G558tar~DQ$Nx@k+)r6bg)N_?sxNGl6I3|!B3)xak9}lq zsXmXbcuY@y+^W2@8Ta*8I^0rd2`l6`{<=a(<+{iD-SrW-!etJ5q-|)O>-MpYJO2Lx z#`X5=zvLBE-sxSpQ|gDQ>xVQ+$B0Vz{`#8rJ37xUi<2lRtpD$JYI0Fmp1k|%RErsJ zt~_~|a6m_i>;4knb@3fFtolvuv#Xm5B6a=*iP$VK+V>;%bO5iVn{)V&_D6q1HdHLJ z`>a@)uGXz~ZBo|y{Kr2I$v&?5l;mTp_{F1JKW~Dc%O|ZnF$<b2Ci|~1`Z4FT+Ab5F zBPE9Q9$wRKy!-M;k0I@lf1LhnCC&}km?WK~UeEPb7xvF{y1B4pXS|a@C!4!W^Z^(5 z?3*(<E@W_vFT9ud>EQG?Gpi%!ME^7I-L=?#!93;=+d1E#2Jip)Szq4%_x3jCO$OT{ zp3PhSH0jy)L-K70m)`F(SzvU3(du2F);Ac<&dxcQ&-x>5?rb)u9n(ZlmT#T^^fTN0 zho@^RF5Gr4Z8UNDmwrn;QN-7g^UQDGoIr`Wi^Ml?4W7Gc9p{n<vz-?<^7nOj&5)}! zFnqDi%600#ZNE+|eRrw*!+(aKzxM2$&REMN()`P0`!5s5A{i!A1JDTA_Gy8PkGSd& zZ~Yl~<)ge_*^FIFRz5WiUvlGK@yhKdlNc-WO%_i2xnFyIxUcmBAG5#F0=M5)hbNhR z*eF@C(CED6?3w48O1+FFjko8w%@x!5F<p4Ysm&$)n-~u<KUeY5(M+8m_w&wSho|CS zi{@^+WqLv5IP>+CqmTICipRB|m-+CZ$@0yFHUH|rF!CSs+h1_(gw(pQ@4;>c%K9zM zk)IA__1Qi$oL+JLdWN--r{T1zx4&*x5k7s?jaPlbk(j2XbC(|Asko%+<{_~2z~YyF z<_-MQ_RVzL8muXyuji$=$~FD)QDeQ+g***>1+pb#%hC;+su`r3w>%X%uQ1<$_vF!u z-NxcOME{vTsK2&h#?8WqmQ&6#>vt=@(>W>ZD!tTb-JTlLiX~dIS0#NHdVMrltFw<Y z+H`wa_r|v94;N&7RQ5Sv-p_sXM!W05x%_ul?Yvr)eSZIAM(*ONfy;xwXlbw=N$Eaq z{HL00uGuo%g$_o|nrnBeCbw_ZWZixwa^pp%o16zXELza{FVg#yAJ01>R{t-uFHYOq z)=uo4*vP?PdF$f#W$#<+FEb`cmm0m|@IH7shu6q>-hZX&82zvZYW-Z>ZbmJVs%f9P zm?3=4&L5^}iywS8WegX&;B;fUQygPqlyf)x$~n`tCw{6-Pg8#OG;DcI=;BNxksZ4g zyL;CfZx3h>lJ&{F#rl7-LD%E|t8@Hzt`RPj-{~YZEA>p!zS=LVT5cK4++;joWl>eE zOT0>x-f8>$`+n+kXvar_+pOCa;u(MNFj|6AQT<iP*y6|AKK`w1m9y$JNe*Q3_;A?V zeC_1jDtX27lUKZTUUzw+=Z!})s#y-F`u^8`>lX36Jn!_Em30P<N0T;2yxIQe=-or{ ze}25${dV<PemDIo@0ZrC61#odbyigrYq!Kc$2~X1y6;cBA0BymN@dvEYmNds_v7n- zm42Q7_P)9Oiu#Hj#vu!rPnO`gtT$ERl6HJwQ*o2xw_VQh>;;qZD=zzg)w*z+-9m$N zT5j+Cf|q{mY$;6JeXWl-d}=ITY{j-s`@q_n>1u)ooeC*JEEO>?QzGX}+<CKU)h?Nj zdn1+~^A6n<k$pcnP+|J#V;=k0_P*EOyeMH`)5TEc$44`dUwt;Ce(P@E==`E7kDo2G zITNq?tx4mRS!#Rap{UBvO0OGNeJ5Z4og5i?-KM(9^Fh?!4IbB4zB}?T?ft~6#QJ{! z@Z0mBy?Ava*z-oBsdaQ{2itiW_c)ea+rk>t8~E1VU+=T!Ow7!?A!S>y1@8LuCj0Q> zGW7*&<xe-}R(uLza+DG+Z4a+M6;+n?y7t<lRbl-+TKVcn0t^hgrhh#s7xv*T$MvS0 z-VJFQSDd$P=Z!riUmV|2Ci`mI*YxQRpH=@!mz*S9@aaUwS;NzfLb_M_68T$Vb~P=x z+_dF%%(V3)87s5n{I>|6^KTZ}cg(o)!@~5f7ytE%x9+wGES<#2_abQZ)~G{AQ|edB zMK&kPdAe3Ev@y~35N(N;Z;L);99owxr&PG7(PmCm+&RsV`ss~6#R4rC(~{JAs?W;r zkhsfveC_6MXXEYu{(d`0&u`vp;hnl(mFw4}?+7;B_gZFi=9{BxFGYU4zBXmcap?Ey zo^KZU&f`tHwRPpBl{JS`1FgKh0(QH9Ns+6cJ<;fvxAPAz|KDByJNCIApTS`&(J@JV z(GyP1$_X1LDIa<;H?b_NXqMQC<g>|Pxe`7h(h8C@&nx>^UG)>1W?a+0s@Lc1D&8ty zapk=`W^7))*=z26Rgu%~1?lBEKfg?HK3#t?MaWL+gWAQ+Fyp@){gSR^q&dr1xFxsU zoAq|hl6vcU$rd?=UBAwyRvXIg^bCF%7@;1(u=`-Lo<v=Ax8|fenWAb#X?CZ#KW(=+ zWW~NdYn-*m<*S#CXh?!cOOkDw-orJ;57mwSZDQ0tm3pRp!3nO-(w7_}n|KagGP3yP z<iIZZ<m<*a4JrbcFY*V69TSS}JbO|k<7Z;}T-l^Y3`gqK(&en8mG1;OU6`|b<Nn)! zuH1_JZgSCU7t>MAE3IE`>#H*_znPu?WB2sXnjHSUEN8pcoIPipzpCl+@>yTMq<u4- zlk5M^a{HZY?i*))(s6S5@kYA-nr5?+w5V_C{GGKzZ-w=_s$vvYhP^i6u@>f-{-$jW zv+F(o7`c}<K34pG`SsseuW4~<%F5cZs1(O-o}6AGaIn7he|ou~o8YhGwi_kf3_adI z3khr05v*=GT*z+7b}iC+!x;nLi@zI_#Co{5y|g-SV0hN$;Ew4RVt+qX+`aw$jAdQb zmqlF9Z4Orcv*7hRi8;cd3^S+CZ+WcUT#;66&+k5WcTxHBy)B(9-7l=Hf4=$4<$$0s z8DXr3=ed%VA2lD`w@hdC2GR4LUp}18ZqMrY87a|zdUkO7ebHYwi$mO%=4fynwlbP> z;qil+S1dj#n)fxYpS=5Bw^)@&bj9jYrjz%lx$bo+ZOu3xXl}afxUGn!+jfti0zS7N zbK1l|aXz$bb>J+1Uj2)$0($HI-%hLF!I~#~v|*37_43wihesizd)AgOvC!o9jP~~7 z+o0zBrG3*~+vkVabb=XfcbslA;gUNfwpohlXrEp8`qQ>7Txp&wZPvz4eAM8XZ8(o1 z*kn_^M8F}&`#pjeUUsl;*nD7XV`bsD#ZPP9RovZ9WV^R_T~7b_*K$GawoD_hO$=+b z>i3sqcAKR)O_2V2^0<SW(%Y{20s+aLUJ;#N{QCl4%M>;pb^boZk!RgQF=3e|=JuS3 zrw{wgudYzpQQ|j$#Vf;oDLHFrBz3Or;n4hcG|xc($<EDZS7yz=$h)ZUkmfuynN7S2 z&q{NSF1FG5ddb6m?k@?AUrPixto~m1_?7g9dF$%x_ud!1%2u&iu18PUerJ#Vf40al z9>0e-Vjk@^$g`@8{BmV`WaQjAM`y>LJ6S*Bef{^-yI0rO{Hpkyc>1%?q<{YR_kVn; z&m$Qi|Ji(>Q^%Y_t33->p2@!>KC}P-vvdEy{`zZQzwcID{htHX_iHPDztiPszZGr! zZ|Z%vhvB!^8E6L9udR)#v~{mGRzCRc+5VsVSRyA{#h&t=f2xPK^w+g`U%@lW%{LgH zRG%x!p<;DSBJjZL)tSl5&PVM&c4lW^m7;SPms01vZD}R1{Eb*z1We~@)f|(tJeP9r z3Mcz|M}<|d867^VJ*;Tvu8n6GU%CEp0q5V#YW<&j#S_iBe)Mda_15;&pY^VXx_55( zT)3wve$DH6yZg6AzKWecb|>T64~>tHiWf9<h_9NW<(``)Xvq^X&q5&bu)ra))8D4t z<`nxry|9>3y8cG=n%0|zKm8UiDbBWf6X9|rpmW6(g*|IhUG_1kC@){9f6!tEOLqJV z*4o1^dd&(uc^0gk$`vFOy<*3MYlq+QJ-Ej7=B4M3`MI*T)20Rcxwe|r?iOK^Z=30! z5m@)F`GA$<zS6Jr+~=qtOgLh`Y`J4q+!LXwV<FrDPtQIVyDNCMe&eEF|2A4)mMhSG zw_44QkCok+Q`O^xitMs|=2zV3C0_U!_DlP4fe7E>i}OFbEL?v=_@TM`jdPctb2n}A zV7T_J%-@y2uY76g%;cOu>Iu_Y?mwNkVRi_cK#_-v!HLI8Ekz9tO5vN_mY=;<CE%<o zYm@8h?5NFl_@AHE;vO53trhiG?(sD%2)#Tux8!l-`P~T&OB+qH-YnEy8F6&(gD(a* z8!k;&a&Uh?t+4)}`mZ1J)Pr_1e(_ScF?oTBch2%Hyt31Is-JKCaYHh6eQe_0Blizk zWi)-c^7a4H?MElCU-c?|!Z}6unKqBh=BHeG%`&A<duc|&w(}Y>-)`z`y_t0`D*bf5 z*PoTjmwt7=pa0g)ZJuZKv6YKk*vso&FZn)ekdqBxx~56oOUZ*lJ@sj1a+2>aMj?mw zw+?*m@tiInxH*YuoBH*LE7M$ZxXpQ_Ow;#Hs^iU(Dw)VIQ#yW<;Pz>ORYB)2tq<C$ zWK(`>X;Z_}cWjsE)m}@Rv+TL#{8O*yl<{gtJgi@qKBw&Yk_ozBRgYw--xFU~cJ%{O zq=-myc*yp9Csi*k4J&H-Hg)2|nH?d#S1vT2RS|fmuuvq!_O|lUJsx+|UM%I>tKbqW zwd?E=;qpmLzO2ta#nmJ-O#N)S!}wS4L$lLwjo%8d`2RTKO|kbO4@a%1^OPNCedygN zdr1D%R&7^B!TN=kzx;VTPkAJUPHt~9&C6x#+-wvWz4QTN#v|7C!Tsh(<MSeTV`2;W z4Ub-mc%;ASD690mgAL2}FbkSKpZ0Rc2FY#T{!I-%f5mxnUHFUE^zC+UZ0BTVKmF-> z|6}EeQ{P^D91d8WSl8Cc7J4x2r^3!2wvC~o^Q{#fF7et<SnRf0T`}_HV<QhU5wY5H zyzL7geO+;8-rW<Oy;5^n;*#EZz2CO}A4BG~qn6;dXZxNq#_fB`n3hZuG&SPV_esr5 zFUc@cFfz2XG?-q<$t=cfWMDjfVk)yneMNG`lGtr!Ik#8dD7&=rZQtCk+-TXwmpA5? z<lKI-;PDdcZGV^Ew>18IZqDcLyI-xUdKI^4{?_Za&aYdf5EzsyV7Aqq&FjYPodz2W zj6O3xc@p|2xA#p%gk(s=vvp=}ehgu5X=!B^TwH<b3nq3i-8*;2MD7XV2c9M|2ACB7 z{P1@}eL~u^#>EUCOm7?f<h_C$HmW<wy+~T}>?K1AV}SHu)+ul1#!B?`&auDZU|sBO zt#G!zd&S=7<&&50Y&|_+B8P{kUg_^gu|$>=5;G%i@3xMPX6kw4!)Vm=sfU5J`aAzZ z)rMR5_!4-w7;G|RzA>BeO2aIM($GTD(1s;li*`-Y;;nz|o)8+&&R)Iz|3jr!tCs0` z@(T!u1qib<*k5K=xf*))U(G7Uw{|~fUuG7$V)v!~Q;o#G!s+7S!eN(#gwhj#74tKQ zHAr7LdGnM1t^beQejeocke?;LF3s=Twt7{Dfao1NEIt?+?%ciGc-C&K-3GU;XBu1G z_;b|%?4e7N5Axop)bsw>Va1r+P%nDy>bWnA=je$YsAu`HS&PBaTX?}-;}89lTmITl z*(SgAPr<JVyZ?0Ga951^cVx@YcFO~j9Dn*v#N5u+|2(Csd6F@wSLba^Lz!EdntYp{ zo8G!}42Sop$mcK6ylT(E#JFgkpI?2^iT}Y*zpOX;zeF$Te_{GAvwQpM-QNA*o8(ru z?p$2`>a+Ftwsr2EyLsv6?$7p0OpIyM%nsPUnfrgu%%ykZjVcpaGdT-GP1$z#AKRQf zVf+6TeX@(Y+fT<|tAE7VeexsIj+vH>IyP?@p7pDVZZ{U)x_#^4gtt83m)9gslP@jW zSpF&H*Ztny-1PT(&;QnIoY8sfbM{~Tm3vpNHhSJYcj=<2hVD1}XYxur>QA3()MH3v zxFE>z#b9H?ugM?Q*ZovZ`>Fg-qh<Z}=Do}Z&YU<V`64@$?az(_mDbWb85#Bb);Yw# z->>~o_~6C@Mju_@7`v#y$uST9b?N1%r}01d+5V&Xq<!fB%Pd#wd$rCUpEK*$?VA-$ zK8^Kh1wLHg^yGCN|Nc+E^iO+w%j(|Vrir=}e$`+7vY)p%M>p5z|K%_HeM}naZv<)I zu)ZmE^Uw5imm&{cV$N8V@-ivuWB;Xp&U$Z?zFho&sO*{9f&SE=-b?<aFUhg1`kwrM z<Dbp7j1mz$EdF_azc@|pTA4$7I)nA^c&!KSivPMly4QdG|AEy&vm>ix)r!l1-*5YQ z+V9S}BloUcIwy1B)Im;zq9UVDEy@30u!iyRHJG1vPoB+W`!7q3L3r`py{Fr`4;;LD zR6HT=J<IoWM@vfvpF{O7^@@6743n?y7x>N|^i%xNLpB4+|J=17(u{s))GV&|jF>T# z(PvqGvkrsWgZ&EH_0qT5O^gale{S!2?3|MJlI_pz^X*6e<bP0JdUn6!X?LdG`w#ZB zeEY9<<a6Vje`4KFn-^Zb|KND9)qmb1rWrB+&OTAz)V=%f{VOpHYS-(Xukr6azCXD3 z!Y3xHzlZ<Whx@)ff9~sb52OFplK<1a|8uY2WpOi_*;L2ZUM{`fc%pexec`4r7ZU%? zP@l&C^Z4ue_rLs8W<0uod(-~P?v5MP6~-GjZ(@>&*l8a&|7~({QexuMPk;I2C)dAO zSAX`C`~JVe|8L%6a9F^8fhF&0`(DoYj!>`Qh?(;%4*FQCu<}3lRGrqa%!lcS*DoJ- zyS|8be3}6p3^u4LG;g{P=hqc}Uf;6*!n%mbN-US3<u3l3ef3N4%1sy6O`N|+-fq&4 z4;AOq-M%j1`T4ZrlU~p)=b3YA)(U;w*|V+1OsV15kBJ)o?%$JnUSF|(b=5rR?x$^A zZ9Kj&Vx5-8qb_aIpDMD)@6XejegV_JyZ7wKSZw!D^j78JKL%?GB{kab<$d|<Rm*L1 zaLw}iNS`O>C2RF-OAeK&#V&ra+AS%|a)Ly};T;cduZfYXsPgtWn8V*;>2Yb!!+7tX zGZZ!%-s!mKvbCu_Q#fLy`@UNz<tI=3oAOI=>8)D~&)VK@+S*^Iv)IB)BF@3EYih{V z#66r#6tCpJ<7u1v?)i<Dh3C4`54kK3U*ux7c=8>gwWsQ1Rpi48O#FnymNpgs6`RyQ zeaGZ>qfblRSYw=pqeEv@v#)pf^k5GU``1OeX9P?iu^61wjdOQ;xN0_+R(efbi{d{y zFG~jRJ5HKKKDXQFDnzt+w+O2EPMtK{Pk-swX&i5_X1Us}F`a%~p!RgO-TdH_tpOAE ze7UMyTBW{X^PC{{`dc}2;?u&fotl+n=d8^9=If4cnFo%BEn&?!Ns11X&%U=M?VE3W zy1nvGzp6Cxp7_YwrJbAo5;neAJ(2le<T3ujpEtJ3h&n!b^VBX&?(BDFvFm;Ed*r%% zpT<Q+9Td55`2Jk7`0q!o3xn5{oZA;DYkHYOrOtF(huyQVTYGmb*xp+&)!w1^va>dB z>vGY%kBZ{9T4u{SU!SmS1M7j<wU2oH1>Dcvdv8<yabv~#8P^v^hqit)Eiv1ZJ+uC2 z)eiQ86eWj#i!E;?*CmDK#rZeQRd8pvJt`(SFCd>=X!>+cr&{aa-QiXjY`VfPW%=YE z6uDENwld9)wO)Pg>-CmN{Hxjj)^F5pViv3r{qb~@$NMkpu_aPl-!uugY1hr>Ja>K0 z)V%*}3BTG-*oIB*O4#<rwPea(zl9G@vb^hG(sJ^*TjlG=6<q<J5B=P1*_(Me?NYg< z|G}aRu7FLCf^I)r|9HB%lkW=O9dGx|-z8zP{NjmY`Nh*OG1msnTY2b@irDQBtgp^) ztG~oPzwVrkg4*Ot$%0i2rTCrYmG|oWJ!5N9x7G3G?Xc|+OuW_fOP)O}i(`>yPFs4{ zp{KHb6YD|aHJ@j%UOy?(V$C`B_3clubw=E5uQa+8_xGX671vYiSKcdreoC|U9`kdt zm@=E4ovlIpl_tH4X6CM6y<@|99g!<%>*jP%y<6X2&;5Akjy;T)>#{fBcXwKKr&d5k z_?-9C?g9ge#Fp~Ouimrlvzyw&>u9^KY+u*az(c=wez%=sXL9yXWymSvRfiJ4mc5_5 zG4}dXZg+zZJbvAh!h-7#HuWX_+$qcI`!V(W?7RTWoEHv#6CczpyF5|IYQ^hwod-@m zoxOgidd}|U>#fY|YcDQ0)8c*4l*(0ogmGQ;s@b!?&3#+KAL-OklY3&?CJ}KT>1*5P zUiVOOI($;EJNWC}OAVG2m)xDqZ8!5d??su*mmg^^j`VqYbBc#k<H>h6y`TTI{7#s^ zd&(Y$f6cBY)3+xnoZDm~7hht><nowTBGUNj%YMs@+(zxHDHg1Tm%r5S{`Op5N#fm~ zeDh@);&R0o5AYodI({OJb^CN)H3`9+T2bcyKg#AD7K*#a_~g8zq5!*JV7%#N0h^1i zIsR)(6=i2WU#~aK!RChV<b(vBytP{%eploVFPF6Y=)==qYxCc<+^ND`Y-2*Y&&IXa z7$zrbaLm`MDw#M#e;>cX%tJL|^+{7bu05NlX>TGH6m=tJ=?~E#J|}Agn9NVSe0J&b z*@?=otWTRA1P@omGR+fVvXj&I6x_3}$~fC$Qit@CViwUk6%|c!O-CI*|9E2fbFSEt z4?nkevuta9w!Qhb+NqGOWsX`&ZK<oZcKhv;Gnw>z?MKybe?IU{UH-%Ese}6~ua$@D z`%0R`SN?c2v9`=}ul)U&ZL_%Yo?M&DbBVhuF<kckvp+vpFZ<L~V#EF1dHHD%BldY8 z8?Rr=%Ugf`(Ei_<Q8j(C`-0TxuY7oZ9z$}F^AtAz>&(5Z^CrHz?ySPO@-OECcggz9 z_hE4+wYP;Q-_J_-ui(~9yI6NXK5>az#K{ekydCuu_e$HS1e9HpUH+wPt-0cSy9v2| zrVG!{@bjtrP`CSf!avsfXMd`feehn@RbQ$XExRNn{pF=I$Mj0<Q)gKiPdA<%<?DZ2 z_R91lSLN@Ty6P!QIx}38KD_VLD(yKUyrvglPu~^z`S^WpzUx!|RelX`G7U-Vb~1fB z?{#i!3P;Ts`}!+d-rX10hrUYP;kobf^0J#djy^c7a$Ge0j>5UP+p~P<J>mVnSG!sK z`ZW7Heew$8jW1;kh3vPKO_|QIe4gwX4{oLxYnQnB-uh(lZt({8WnF#kx%>Y8kem=z z{LR=@@qTr_XIbXAHLR>3yIm%maWU<BFzbZRb{`x62^Z8ZgxQ<v*UwpZ{nNy6+*8(v z|J_*GA?Ecvq;?^Tmfca=!wx5>wZ8DXvfE<XzF&KbJdF9j&3kb6`7y>ar<qY4Hen}| z?Tz!ZCd%LXW%23U!ObbJ>)tL|St4_4nda$N&kY%+r~XTdUHhpv|9H);yng?Cy~3pe zjc;Oh>ULTM_Iv-F)_;G$z{2%S_1zzLEH=*-{px;t(WPCQW+u_6{$(})G;I6A`minQ zMO%zP{VS=iT8X3o1z%bzE6fVKb?)x&6aAqdza6sZKlqO``|MuJ4>CP7uOuD_&DwN( zy1n)3s9MpOok6=wst?OrGyI?1CZ+i-v9l^O`cBoEj=xp%ODFued-;9BoKN2lPT#^@ ze|t^DCDRIB{U_;iU-+9d!<(wxq}^MbmgO(`AlSEm*7S6jV~bnoN%=gzc3SwI-n0wr zr5|vXUs>k4?%vIHA6J%`1jMiPwCyk3+<WK@`)2OTvl2ff9GP`3;L6t%EzWV1kDQVH zbv-sh?rQhCxuUv}R<%#R{fhb1I^}2O+~(<Wcl4#}zg+Sb2zT?{#{K9_LMiXn$H6Cf z-pct|tT^&s>*?8V7xs6no+w>>Pq8&;k!0Y6<~_li;^)YADBLlvxO2Udt<+cdTYDta zpN<Pj2IU`@-4u}86T<T4(o*9<6?P|q4ccpSr@zfT75PJZ*76F))M#7wGf~fXZ&kXe z`R9b;)}AwE2jlBc-l=;PYq~}1MDGXnt2rM|{7|<KRC@Z+`~S7BC%xVe_#@5A<aqqt znb%zL7wNFwADMW`-manMWRUUpBnO_g3I%EMzxHg_4Ka?~anVA0uGsburgi5&DitaA z*t}Lqxw32Ons+K3%PyC%V%pi?HhJat_cJt?6^b)+<k|`-^}X0uQ7<v6b(7AK6%kjj zL~K0ub;i1)=1Hse|9s-upBh^5@o=dAx%k_1?;Y9#wj{BtGx<)hcvJV4g-x?l+IYS) zo7OfCn{`HIy>m9q7j|32wf5c9vZ8IvqwKu2>J{h62hFrkzB}u=IkQz#Nserz0h>tC z)hn+}pPmywI(5%^?JNG4NdX=8f3IXNp1t((hc~ap-psUqU;M)Cl!Mz9zLtuuy_xTh z?JiL4J^16+n~(B&#k-HcDwDi5;X-oO(oJld3!i!$_zGT(@qN&`|Hz?sJ8fU1*$?_= z=2yHuBtOGq-NO{&VD&W*S7`8;$JqML+kdt5ttP+in!{VKrY<|*e09RkPoHgq+wyAb ztz?cHJ~Y-m8{iQt#S);X_Eo$5PsxSGlUt{sXDsAQIvV42T5GeW-BQjJ<GVczcf6l- zr{CZ&)9%$0DqXb?(q@{y4wrQ8J?CJ)L~mDIpJ@=o6eXTra}@io|M~V{t8tO?_LUn< zl=Eai|D91_$Tm;q@WCh9>w=Z8?Oyrm^lQy~yBO+&wUWgZR^>!r<eo2JwUG1Dx|Gvl z#v;=m=y4RWb1W-QkbcT@PxnGD+vAstA0iJVG=A$e`s(dbqPoH*DK@^X!*1`4`Gu{| z{9^(xPwZJ4_^|cc_NN!vvYogu+%=i@{I=?J$&?z?ROS`;Idml&cn`Ih32|M%*BTOX z<gCL?`M0~7>*s9R?A$f;$d0QH6IAaCMQTYbmRu#a$cl4vY(}HjX~vFIR#(beq9#wd zn$dUU{tVyhW0jvp+>cGw$>=Q<eRMP+E2@IIG)U=G+#TlJudfA4RX6NQyLh~Joxf1d z2L&T$j~^x;7iuO3?2G!9u{*<{|8V<V+Z7>`;?Lb~-oJU;kB2kst@Y}i{h3=Ht~$70 zE-84?%T3!#uAW=`<4I_~<>uFCzTV@g;1J@`_{O>V%7u${ORZc59_p%P2<=d@O}o%r z#FY3}Hh38~*Y}mK&)eso@m}Gy=7WOx0sfGZbN@MSc4}9x&|m)H!Ntti8arRFW-5x7 z`egalo9BV{;T*20MY58QdXCjMKk;7EFR}Q${)uZGeX{Qp<7GQ0yl;J0VYGeSiI?$( zVh5Ku+g{qgH(%0j-M-(kygX?Ue~P$kg7l@X6_uok{Z>5r|HHeE%|>Qgt5lQt<9yTR zGG41m3tMvF?T%+$yCz<32{^i-E{?&KS++w~?n86&OupZIMzZf&cXzB%_~bZOy*@(0 zC;D&G!PhMDuU}lODh|JA$G53~tLcb<--Xg5^X6?AelL$)uvc%V#BSTYzKiDvN;yhp zocqAxKa=OckzKm`9_&1{F^omzO6HQ3J?|Q~`Y_(M^HRN<ocKZEyVC3_8BadUp0-oy zarkemFo#JAw%-bv7Yaw!rkr@QPvwn4c~;q_dNscXU-X0)=KqoWedWvq1%JoauPvWx zebkRN?g<muNIJHYt0d&Rs^#N|n$z>_;zeG_2t6tiU3Hh;T6Y=ije8r5HIljWq9-UE zc-H1~Yn!?AUfGnhr(BzBg0Cr`jVbGmsBtu8o;|g6?I*?0lkdB%6P~))IE0}nqjt)g z5Bt8pev@Bcn-XK;*{de@r}C2A-R~mDOD?^;UD@O#{UrJ~&%e|8F*3S;b9FCTJXq4V zDJ{&0?Qv`1`8&_wr<ADKuJ5|`Or(lGHpKh1OuUc8`Q9X5=k2AAC&DYPp9?&A>)fi+ z!kGGZ7bpKU5{sL+@UC!fp|I|>gxLnK-Sn2fTJYnnsN`p@BlUVY%=;d#tXFN?|Ks%h z)$5Muz7;QCdDO{bX;t0wMN`(*|K^T6vd#Wm_QYn%yDpL@Vdr;8r!4DFeILc#V;lIy zx2J&1=R?W{-D$4f=lCc7j^2^`=Ka(E*F(HFv>i`Xd3ko%gTpyhZIk5Jv`t@qtYP_= zgRTbCB2V&7zn&8QCu8}d$@TGXPj9c<TXlZso)Cwn`iJvugnoRRaggcoJZls24__86 zX`FR$`k9YkWPe<1nVsh6@Z%Rt^7qpg=XXSXmrdMzp?CYUQ<GXYJ<RO&est;KuNSPB zGv7_O72c$3>TP4M@HG0-fv*9dR<rOm6-kA~Pvqx)b2WeA@s_12u^}5DwmW-Gm|4Hg zXjRv}2TFNdi}t>&mc06-Tf3w#JX5D=!<W*TE;o8TD$ly=Z;GG$;Huk{Cw*L=laA+= zn%?DLe{Jz3fPKc3)t}d8{#9q-Ejo5-(p46n)J3|U4ZJ3gdzsbP)@{qs<a=7={p><h zOV9pm63yjx44*=l{9Ly`t0wtEgO<x9?NqkE^@;1Za~4XAHgmnbH9hlm#$vI>r`tMh ze$B4ZRPxN?59ayg;JPbQg0W&Q=lk;L8P-iQ8<()Onte0qxf_u3{L-(3w?3Uc@%pXi z;fT{mCdR+tK94=>K=eX~32bY^9KMD<T@usxwo&xho0;D?IbOe!c02TRsPZEP-I-nT zJY`*18uYd6ql-Vi?@?>tVJS0B?!&%#huc%zCG6+QC@GhPN{ZdJ4%_6kbYUCky55PN zqPNy>h?-dTM<Cy1zva&-s@@u90Ul8=oQ^$M)LArl-d3w`&HD2yH}!Nr`d+M`*lIo@ z^LLoT!qh*RZxbiATXzNAWBFMu$-eWc$P|<NYFpeVe>yywXF~m+cVb4-*SEOr@iK8d z?`t%5%I`P(w(fo_#U>zm%*iYIy>zNe^t}nXQ9Md^3v~UTJKUearZ#QP?Y)*aHC$aY z49?H{=w9P$c2(9>YweD^=a##iV0@!np~7~UH}B?N2JzU!yR%XQ(l#8C5wDlwJ$&uc zolAETtt#TrCO%5ksI|PQU(XpVC;3p(q1flW{kEdupLr8c@k~?WDt%Mn-#O_^z_0Fg zb54AFe!eVkepc@#|4j2WR|Ks(9-p5%@#M-|6Z-b=4QV@ZM)tUVR#M39;=H3p?Q)Cf zY85%HtFDr}`eTa6;`POo)YFU@O_S5I=Fgkk_xAc(#iXyF*0!Z<J!=w}A+qOg{W?9d z$Gm5@lyE5v)Ezc2U)nx#5-;D|h0A1EBZHoM*17AQp3?no-t!oSy?=l1`aQXI^NbHx zDaW7xYm5-FwJ5muH_$*KL+Q1#U*+;;jO`b@)Ap*>e2~(Rn6@#sb!y4%{XA=;!+3e5 zC7cBLGv!y!*c0$mBja&qd+6g=QGfN=>W%7q?QTk5k2`f>%1a(md;3LP;vRq2q@Iy@ zvS-r1<ypap-WaV+JkH}<@uZ<f+witgT58z;UJtKHlQ<{KPG30h`!}PL6Z&=ct$$nA zuu>|o&H3Ys=}EQsXB?VtEf6MtMdF8qlFOBJyDjhkB=+CcUGgRB$|M!*1k?HJ7cM*` zWjZy+Yij+8Q>W(~^p%d5db(jnUCpKX-1bzFn`bR*4lUj`FROFPC6|Kvu0P{8HrS@l z_PoBC@krJry_m%d&#zbbvf$IEnx#dVcbu)PYvx}+`pabFJf`dOKdjc4nS5t|mRzbq zo=EAt*E8b}HAZr5+xdX)W!DOYPXZ5nzAiPnuN@NSF|+A@fJePw@Ry5MjelGA7(bX4 ztE?@ZUZ%}&$bZO0*X^U>+E^~PsUHds_A*{H|EF%v|K&lHKkMOiJ_DCiZlA86G(R#U zQ|(UMn&hqC+`<g%Rh3gGy_?bgPk+)e*SPq+{)Jq+({6D^ybf-imn5;y&^q<~E9K1* zUdL3OdCQW7JpO%i{U7z9KJRs;ghC5%<L9d%I7JHgiyd4v@uhIb-k8Vh%Tfv*nSBaA zMSokddE0G0*}RpeCw|^o=|9Uua+c4!?&PzjTYJwa3-3BqapKza*HLoi?%_|L^s$A% z{(6AXb<T3JuI4C3Yql`yAH_QvOmx5fdakp7`JGKG1kE1rVKY&^CA9k9bLOe_&rBMx zm`~fPGW$x7=|S}qGHqr`r5iNew*Px!wBEvf$L@R24!Rz+D2=nVX`V9K``k;NDYLY9 zHcc+5@cuPZM8MW(!aJAQ-&i^WY+3XxuYVSFp3FO)tF!6G?4UZg%ARjY^LcMQaO=-f ze>`2Fx$}3yL>b9>Pc)VFtWIxJ75SxpWqZA*$oq3Adh`1haOaileEczw=Y5FBhHtMO zJCpB3>7CfIqceB5RqmU`NAF$Qd**)B$y?6f9v(aN^s-=zO^oH-8J#>^(r%rY$-^hY zrJUHCbk6L7;Qb2+on4$_U)CiQhWq~vt>50%*6vmm6Wldz#mhGBZ`b1ucw0YQ%+lO< zG4Ws1^m>+c+`eZsO{{<3(O)k4mp9O)&qp(IhM428+qS&S&z*vw>zofTvvF9(DR^4^ zE6b(bR^};uHP)#?oQJOzG#}66Sr$FR>ubzRpWwLJ%*Wm<yysh$Jj>J7;QHdL`HN3w zX8o^Bc_BXQl4$IOL+kk%oo@>r<aB*oprvzcwQ_@PC!=`%zelzUA1yH~*e+}4#d`g} z*pYwQ-(r?YALsdYWh479l_wV-MLtb1jd-E;FYZpmnFV?eU9MiLoHQ>t-rve7TR!co ze@f6k-@Z9|qOvkO-}&uKj(rh%OaI@UbNrj0f1dEtcK%mi))L<}2a_(o+WMD8=<FNT z;CeRsk{8mRoUIL?taj8JoQ>7Umj1uwRo$u+cO+&X`Xr`gX>s@Yc89qo)pASk-7R}| zbZu(dMS)Wt5ld@6-0Ho>Qtb3O-FR{D_6H&Q+dK|2ufDxAWwU{k<(@w?XIkE2PT%>& zz@+Vp##a}WnLLlC1&E1X(Y$4St$5;{=?jlMm)H`xLe`mon&n5PgQiZWOSJ3rI2>*! z&Fa3hzv?V&3hRR}+zxtmMhyue%aW(;>|jzod@Fq7vAnD1@B127%h!Kv$rXK5^zzr- zHR=j$H%(Se+xcwyT!k3d|2yp~xB2zv{pDM~>$c+4J3D`e#{XDw=$Fu^gT^_EKeg{n zj~AcE7P>*d-M}^1cEQJ@BfQ3}^VFm&W9pmdhxrN?%IX?Tv=lno8+$@;mi{7RiNoCj z$0c@`&6`{>SM}q}SuTD4+me4i-)6j~<lU?@?>!G>KCWZmV0L-){G!d0+B20o%1uA# zn;c9_y2Y%TtnpiITmGC+Qw$c_ygs8TZv9c$xqOZILzX+A&zhx#tvtMU?cqBY#98Zo zCM%wHs&_h|rm8!a`_1kbGo|djCWL9-PTl$Y76a>YsjQRh9)^2rEmEGY_x}BnuTQO> zo)fd3c<8bp`@IvgPj6je$$VYHy=sCDoAkt{^3R<Y;uO<w%)0G({o($ozC|X}*KB>G zbwZb4_4BJwC*vxoizRG-81Q>ia+Fu^lAJ9Owc%0)Ms?-g^-nb}^ts;rrffFtsZ#P; ztrK5NKTm2-opx)ljOeVmFUlFKcea1+(0rHec{V8`<B_%E{CAfQn<mVV+Evm~{$^Xz zG}l5l=0(f-8DI2mdCs;;JL17qx6BhVNzcEY`2FMA56-#I@3i}FzxUAIxW=ta<iX1W zA@&JY*PlMOsLhG_D7eX?em&Dv_Ua3{*(*&NzZxx>F~hlg&s@tj|E4hXy=cGQeRKXi zcFl!Ot2v|C-6NxadRYDce6ueuXo)AcUR#1g(WIV)2GN=S|6Jj}Q~%E^+jVwn!sKo0 zhc3FD6Ro}#*YZbrro^t*zJ)4Av&<&(f8=O3y}<l%bHSA7OCG0x;EiqgZ=h5!K4qJ@ z($-Cul68GE_MBMiAA4cuGJ|j457gK^6(2P<@ov2SLhqxe;Pfkn96mj<=L^oM+c;hE z*PS<QYfMLneY4R1wO8+*<Dc1CKJom8ef8_QHzprpvHxTFhSj<t^3=PvGnQ-<;}^Ah z8nf$#jPLb$lQ7@zxd$xgxz#n=@Ve#iHK||PnQgP{VCA+`R$_-9_Xk|`KG-<vlhh=m z`*O3H7czPs2vnYG#;Jcy)HeRS;qAAxj@7vIGikQ2erWe~TFAXr+X=DVOvx>U%zoU; ztZTPv)c>2}5Vnhr@5W`TthNR7-MYg<?g(CwQ-7Oy^7yd@JqO+kb<LNppP0#ipIbUx zW?tmV`i(kge|{}kX03O4#j^%)PO~NN-z2!rYMIvkS$bER=K}qAKh5UUygtO6byZMp z%l_=wO9jM4n)zmTI2@6Cue9EZzb9r#Vz=(GW!<hh-<#H)Wv}Wmp7pKb_%zqYIx%@! z54RZKpEdhI-5rL+2F@*BK_Y#(u8Zp}zW8EibcEnWHA%Vp=4#oJ8(t@W&ui@#s;e^; z5v~Zy^ZuOKzq>8$*dvXdL32+s32pu8yWrUItr?k*&l%5qX*OG*C+Od|->;d!r`xX8 zy?B0yL3LnBTg?%JS#^z{pHH=Qoq46wccHmBPs9Hos{PmO6T3u?&Q|7J@BCeA_szXR z6B-npqW^1rQ(7?bXKwwxC)ZjwJ$v%-g6o;k2}{27g&#c~Um(m_CAZV_O4#Ml3$HGG zn%Ba&uWSxS<AO)QYP=#VZ<=0PzVE^6RP}4$r|%V9X}jop;oCjNhi={V@=!9~%AD+C zc`9Mc8J1fo+15|K>&|cOIj^JTU&*v-3F$j7dnuWx|Jrx_mWABXNn)-)UL@AfWm_}Z z>C&8pv)2~}y#75ainqtEdl84<5w+We9<3An$|hEB=De`(t4hTuwQ~acN0)5iP<$h> zaN6mU!XB?=X1~goIi1m>`qxzQ%k8?g`LcZ>DYLJ4oeasiWt6vBB=PFRUPsxB5w{w( zRj$qbr@G<j|Kk_U?xu6U<z2shlJv~vJL2{FysiwQoQZ!$KNUR}l%H)mf2Zl8Z{8)r z%6u;$3g|pG|0pE<Eo}LdcTP8Sdfqr6>{Hab75$R0=7pL4TYaa%O$l-fm>TZ}=^E?5 zoAB1l`~Jl#?Gvs`Op?C3&GGCupQe}PnRWNGt`%v|T@%;1EnLKC^DM_do0gYsezmUr z6vx}8^7W^m-w&5vH}|)0Ql0PSSxyy_7Ak*~cF&#s_R_Nl4gH%H@9^6#JmNRcpqziX zkPD~FlVu&ZEu&^9?MV>!a5b&o5!?G!Y;u%v&hhf;$?Cl_Q(4n9oX=hO+-WbpYU|hB zANO1@K5K9Y4_Fxza_oH4i_BlzY~?eL-mYDHlTq=E>`s+G^`~O2w9R+Vd^7vQm&}rd zck?R~_s$QL>@ZZ!TUKis=xep&mr%BM+VtfoS4A9NWVk3z_wEH5*>ft=&0*%ZRQ2w} zFE=W3IUm=lF4xIX=%aOd&upD}1sAWqUv@oeGH<Zbr#nK6`(D1`S;+UvZ$s4KqvxAj zj;Of*5nHhE6ZefEQy#ne+lwDtvnjVF82{4w`XZo3toGB&*pr99cCz|C_<P9p*yj8n zYgB3lTr?D||0*qQfBaQ8G1}De*~CBRR3}_rqb8X0C?)2hM@RiyrKZ<6F6|03b1c7N zev5JKse1==eH_JphV5L$`&Cu-OZu}rE-sIjsbBkXv9eIJ!}j-2^(EhPZ6oU6ls$;( z&M!>*uu_3($A{ZhJ175Al3z7%#<#Lph0{N;kS;bgeC;Rb`t)x>G;d4!xti|omX6fa z!14*N`1{mjFGqEL;^=d5Uzm2=&dT)atB4k>w_2P2Z4v(`Tw3*3?1yxLu6h2hW!FRx zI)6T4c&F>Tr|jCM2F`^OGEZ)sC{$-~q`vZl$%aPO$Cp&1C%kIQbWZwhRkq>RGu851 zxw(h7{bpB_SlTMQP@*|B@Xq`#x_@50yWK78T9^9$4rkBoMKjtTE>4~kf2Z}E&f7=q z7rp#^rLR47a#a0!&E@OkgY$FiUS~#aI`UWS)`re)=l(vEGnu-e#!vh6vIvK!X*$b= z%ie9Zu5Vr&X?~uiuVLl!(;>-RZ}T{+<{Ngu-Dxe5!Llk@^5BB~y}7e5zqZ+O?QP!W zD-}NS%Y&b9)#+By(a%v^v!wprhghkbtJfCk8yn|us$%*%b6)?)rsK;_`^m5$zjLJ0 z&0ba8VxxX`;WwR`pUUfd^kmnR8%oOTTYg={e0r{~_Co!7+35Y(6;Ih|zqmY|WwW?_ z{qDxbb>IAtd*<B?Jr(3I<%YwG8F^D*JgDBV`todB+b7BYS?!eG?g<tUm=*K>QlQlP z_FGYFE^lQ2!BYM;@q|pKQ_~9FcdKryE8cnga7BiBs>OD;slOVp^&5+<ah`mVzd%-N zAxp2v9GmqUO~2o;<d3W`GxCZ2FIRU_TE1Ge@zgo}V;0|@-gOc2e9&UBC~9j@u#C^4 z*E{`|WgX^@zgum+@X2ESdXF_*550&~u90D!k#pvk^_xw3TRcB6z4M)GX|Rj@<S%6^ zY?UiTHc!#~P~<wjZbePH+&igvF^e8qZPGpZVezAHId5$r{B@dsh|_j?x?ue|o`~NT zIrAPpy1*fsZDe!&n%e!)C9({E;<c-stjvCYI;dCrW$T1(pARlpVkzS=ewMQITiSM( zIkr_LJ=YH$JHD#!RA}19y&m!}`0GEnpVuwA-5r{-u3tRoSa#RypoES89C;0o?M#>= zDtKe#T>Z%YdGh}Z`ppA6WdnD}pUzwmSiih@C*LdcG6v3*hwI8`tWdVoTpf8h%&h;# zofkH0=hRGo?Y2H4u=r4KkhDt6EZ6N%5|6+6T&1%7=JKzrm)bnrxwb?3-?J4(C&CW1 zv3~mGYw>8W1(QFI?4-x13f3`fclmz1^+Up`Wv8r+JpEVfD&xGoQs8k`F=O<r7dx3E z?#>RYtJiuyJFbH7kL%A2&u_n<?d!Gn%9^{t=Auxv@2T@I->;CleedGRl7lBp`Ij%U zimuzGe`)Q43aPU$&t9y3nAcyWbLGv<-8-hY2j0ELH0j5c$4+hHl3ii7TnWEbB5X>J z7#?N`Fq6&Q)O5K<*`8}j@66NsCp8M5iD_iE?u$7wxA2Qe{R0m^frJlk>ne3pU(VcW z71a^<*|KXLNB5_N)Aq`~KDlK5TJ8%y^}ml@_Vs@y{xwJF!TtQJLcAuWNA`#8aVZoz zKG|-5s#<Ay^`ZBNU0&t9Fxj8!Rk(7)tMCQI?Ej^7JX;Lb&GpGL+<N2ApEdm3w*T~g z^f~EPFYC$!;wquRmmOx+r+(dV`t6j`8|y<OrTbG^Z8k^R#=P3JCOxlew}qCrr{=nI zbHW}<=*;jeGCsQS>^5e<_3W$eY+=oP_QOT+xPl9BX4WhT*^-)`ZUfgWyG?->Ut)X2 z7rkMv@ZS4A$jxuj%oinV*iANjq)rn};q<Kdn)B${{dHP0nP<4{XWJ$e?XC}Sdpqaq zoCR~H+$#H1pc;PWyHBhCir2md`caxY)4so)+5La%op|5Fp6}=VGtTH<CaxNJ{oj^b z(Ql9I#M{_kK4%jV@X>Rg|J%C8;9C=N532v+*mHEbe}9i?dr?Tb@6M1|)%0~)Pa2(! zgMFVLojhStoQs9g%0%s+Ns*6>LS|*|sek=&|BYZ%iHR1UJSG@?ZT5e)TU3!xFr`T@ zK&-61J$K_ajfv6<cFlMBYgZOBwyt?HUBSWKY!mBrQJxixVq<Q^{e8<g?WXhJ;CUy1 zBn0LE58t?+gF*P(H7(t3ra@I*6@|9fH*y&*U`{@>aMzjt%OoG&6WM>Br#Ec#5&NQl zExuFg8!`$WW!w{OZ~yS`M&rlThi?kVTFkb0I+*ZHuD_^URQ>J6ZR-zPy_zU1cdRhB zdhN$4lV!VPt;7YFhF@snJ#=(;`fdhi@sw_#OV=7V^3BojIdHCYb#lVjs3T7|z1A0X zth-g7_nWy{ro^j{xo@ZH&Tmy~PjlaYSS{(Ysb=lFqnqnpt}gt{eBV4*-)G;ySD#CE z^!(oZ_O-5)HRJ8>o!NqlCl`I3FL+UL>b!5PjK_kfbo=Wv{_eZWeJHcRKmOoqA@1EA z-z1lnTtDAd9%!$+IEKwpB2xW}@)ey`*K+3CJ<)ABUK)8c;(W5Y;qqFgi@$?T8s0Ge zCg+nLb#g=4)5RUJdG!p3mj#LH%*<z#k7p8hEQ(mN)1=I-->P++h}h&_g|la-etxj} zL&#I}bB~l{qfW`)-xH?Geg5?`O^Ndyy2+{WCyw;6ZBCR_PmfP|_BZ?7gSi)tCdS=b z_VACm*KteDTGhR~`<7;wPW+$#D}QFE{hJL%K`IUZ*z3;6|9!iTQ@uy6-u(CFl`_pS zfpfOkY}MGl)slsM)`Qg>5@+{67IetTTi~nUxMk12r&e?OlR4y$ANRMZ-MgU9GT$j! z_954W&+B+5+<3EJ=3@HgYUYpk<?13Yy_mT8$yxm~y&sz!uUz*`*)(GY!|~<o1($^= z<+Z9gy^I!R5<74~V!w>>1KSSEOL2GVmDlatXK-lp*X$P}4HFH`e5+1sgw79|yj<y% z<0aAgyE^+Flg%#aPd2=?RY&2i!2BIMFFU&C&Mat*FMFr>()Rl^%V`11NAHFxopPPD zWNxhWp(l20_pat}`t#&(?zE!Sx9?|O`;=Lu+W*w(ZnenU6WfiZIZu3`aXD8kl6CV3 zg$SMcx!r$1o)8V*c2?=wE5&D<(nL5aj-A*i(sCpwb<WMR-K*`*Ypvq%8y{J4@4-f< zh5!7Lvv==w+3m#}wW~XV{cH5|r@<$FPoAW=dC#V%S;dNOx8gExRxoJ%k2bQ9`D1=< zRfEg?tv;uh?l`@D`JRibB@h3;-L>cLpCF#%>l3#93iY~CzqaauVVCW4t&>w&btZb= zaADfNW0vtM`5%3eFQe{Gx-lV<-y|$@y7!FNaWxH+b5F+@aXh<v(Z%ypor}rSnUfoi zfAtXBc|+#2@VA!>)=%)~wr9<Fd*?;t-!<~BCYBsZvh5LTIu*R9y{o;ni}!l<&FS68 z-!4(<);Ki(-x1b$v3jn0)2A%Yc0D!l(YgO#XzH?dDTcbUuXH7p|8BZ+%3;<s89o+9 z<9x%?j<*+Toj)D>zx11BU*C()-8pN&N!A5_)oS>$;!|9|+tp{eAFA(UHEeZuUzD6I z)4qb|*1@Uo8g5TH$JwRLwdhseMlSQL{>f!OT;@A!bDp*2-g9McMRAnm+-3EfYh(g{ ztIm;Ef8Q<Zv*EDWwOyJqt=F{d{N?X$T%3~d+v8&o&&!sz9}EHu^s3sMSEoo{O0(jd zIw}9;)6SR9E9ws1%}H7Q!+N9T?!&(>INws+t=HyoI`rRk<#zukX@9(HAKdvE)68Ko z>0929*M{?0!v9oHoaxke=U|-1Qb`N;<oY`a>$IB+)A(+?SS`5`aec;e#-ObecE8d5 zwA|Tki*H%-XVYb&<sPh8mT}IQ@ZtMk!NShy8Oc?g_kHCytFE5>Yn?rRLq?;~)H%mm zrhPpAw&cp0?UB>-XXX6OC}GIjvO(o!$<0Nde)|_(t1cAh@ld|lS$fE3PIsS#OPRT^ zNUu|Qz1ON>s|uxm7K<xa=cM)BaQSN2bZtJ5iSWTE8ya)<0^UZrw<_eXuv&9)6XVp7 zkAHF-4<3o)Y5sgWBRWQAsqyJ3)4t3{zN(XQA2`Gvdhp%YV&4_6BMMTB1R^(_m^3xp z>P)}gsyZ3BdzHJaOiaFAlS^c6)bKSmtZKgYY~P2u>Qm}dS07tw^{Q|hd$(i6uC@Al zRR%ogRc)ePFt54NlWOPvHv9>fh6UTUdCb}^Q)ZSK`J}mdr5?Ed(s;W1iw$RLuPZHB z<QUE}tL)#31krmZ-&hxW9gMjn{rtA;wfKn*KRoTaq+;yKPEM`SOO&}k?}nzpj>kvm zvP}_s_w)B>jsEjzvg<9we-vs7y_4nM`SjMrDp8)}{Oqgut2+x6i)=jn-ujK^y;7bX zCfWO+9u=#(KW_!!^ySa9R-O8(mhG0<_+{^bo52FFiv63vES%$g%;=XKD}VV~{Z%_7 zR?KzXmGbQSB|eFyZG|7^mR*>l6ZY+9k4az3uPy4F{6?MYl%5_B-kF$JRj=-3qJGFL zPsO!^Vd>p;JN``vq8^^zla}6L$K-eJbEmdsa98WYO$E~JHKnaLkEFjS*!En*WFsfL zLeCBk?Nb&hX;n`rTNLZMDj$tjJ~?eG&*NQI@Bgh%soA?|odiSm;<Sp0=@ai(Em2hX zUO8*x%O`P<&wf9~kY1nuXtw_+QNO$OpROuyQa;?QB|mqqM`@kP8E3h@O)ncn6F*qr z@7}Ye`{oB%rj)uL#U(t&Gw#Li<Jxxl>}Sd1FO>@~d30rNmxwXH<GgIk?o~FET5Jm+ z@2t#u6nb5xJcnb#$_0C!Oa5F=Zav&8kao($R#S)d#%&?<72c%@Ym}U399(jI>pso@ z!m;%mnZ24gj#s@jIbL@}e9b4RI|<Jg#UHfl;GWyL?e<BTIiihUtACmQ%=qjdcjV8j zS<alE3(pl?I`iCx>D-!5<p5<4L5JYj&#B9^I(as(|NeH7j_=p@a&|Gtm_GNy_GyLb z5pGL2x8~{JNO~Xj@n&zNOZXf9w_Eyh*x$rRzInHryI$mM=7A&WAD+x*SgbSu#Pg?7 z@?vou0$!Sty=@ySwSA@34TQg5zxj_bg)Lo#bBp@fgt%7qHrG3Brx}HX)#nIp_P)tr zcJ1w&yerA+Oq=J#Cq-_~-PbPaeudfk(zl0hwrYic9`BmnULiE;;~cm3!7>{p<6cQj z=`><p*t17`*2DGnr<595MEokb*Y>ZP=`6iIG5uP=o<m`ePkPN;urk4N$%OqJ3Y*o6 zPaMuon#tbt;pUy1%%-~)fAf^hg6qF0UuF1k!!|cKZ(^-0&*m!UBTD^=r>t!{vaf4> zn{2d3`@p2HjR&f}J<C|=H?75MpMQ+;#*(?8r}F9~I#n`gsg(TEx?6wi	GR=bXd% z%x@Nb_$wY-{CWNl=6_R_CVqRePd3xmcfKuq@HFX(o{}HlZRh9kxDwAft0C_1l;0Q3 zZ)%#}*`z8FxY1^g_4obtNB72k@ZWIkxFgTTe-5qX(~1x5bZ_6a)Ah!hi^pHr%=pE! zWU>;cd}`Q2Py6Ydt=+-PPrtieu2jG4{UiN8s|}wXMBnkc<az&<^D>Rcr=BUE-~Q>q znWJW_Hg@=JUTjdbwa@#>-Ko5<cFa=0nYAs!A+PPLbPRur#JXpJS<n7Tg`Np(Kl3X7 zLaL!gjacxO&^a0v?G=6Q`&SF`FdZu4JNe%%*U{60;nUIE(;YvEEj=)yblQ7^<aYac zmGxc~cESd#hNVl>gT)UtYgnya(wxxUW@cD3_tu2K6&$ZNF=cOF9HUtBVt!@T35H7X zHL+UalF|D%Xxy5hWn&+9BfGrdYs97<D=R04Wvz29_#G)-c&0fhGtjK8>Gg|m*XC8& zon1Be+1&Qiisxk%cVACC*_mXV_<e~>!0CO`4UH@7#rCoJFuhKYc$LjR_vt(L;2$pR zfqs{)CtYXT82V>j+K<cSPj;Q#CZwUic-w6C$GPpY+d^kfKlS?In{`%}G68Ipj1Rpm zozoorV)mTla%vg7RV+GfzjkPIDgV2qJ!f;t>MIIwgBuK;1Vcn4TtxgM|NLr5loT~m zHu*aBdX<-b_pJK4hrT@N=B*SxqG}o_z0JId%j(eCZxaPpO;BMAN{`hD5ILy6<MR83 zbN=jIrJovhO7<jgjm5>tYwYu%Mm%B6HV|>nmO5e16m^ev_VLq_6Qk7oPTtzJUVpCM zv@n;~%ct$z?l&iVz4y+g>Itkreau2i+1)$4uWWn!HT2G0t9@M>DfOohHTqney7v1S z<*xtH^MqPF_Pum|YIBmCzue-s1Y^w&2HuGeXMIiyEYB9pnbGJZv6Sbl!FlUB8+)(0 z%~!d9{z%CamN(J|41{Z5{k|xEUF84ena_4KUX8Oqzf4|J`?{ERNThk<hEpN`cHOuy zt7*OIuffYO&L`_T*G{jz`AeoTr+&7H<9^o<M}GyqnzW_zE1&2grg$BfLq~*rs<(c) zcq;0}?he+2)A{CpPZhNGdN@_>hyB;*meV&xpWe`$wncJ&>sMtZ@6@9P2VLyjg8VPu zWwYolo|+nP=JSdT2X>bGMx>|Ne$w?m`YWq%^}#tY++7yWyw`~xugGZKvHfGk0~Qa_ zdLz5CgAr-9+g=rTb@N@g;1D!zN$xT3PtX6lG*#YE5V^I$?8-Bv9g+^SxKcN5v&uNK z+-~7<#iwRV=ihG%w=RxU2`+2@)4*r#v1aj^*1c;qqWo@OnZIlO`wJE~j;nV!<{kGv zW8t{z(WMthBK$Si8Kn1oe;XBHcj}#JnoY+Cm6IRpH<X+=FxW7KspW;a$@7bMbK>?* z>npz+SM?;q=hX6lzVCyR>}+-SzFr@cp(d{4bTzf<az(s;I9K{sr~SNt=lGu2yyJGN z^|isHiDqT9)_mXk&!#xUwO8uq^=<2RYTadht+aFb`pu6QPIwY-9(LekrgOUbycPT| zI?uuk`}|uMW?idab~${Lm0L@j^PgFzzw5eJ@d@s8(RyyWY4z?`xA%(s%W>;{>zMR) z#n0RKxbD1~Jb(6`Em>U(t8+qoG}kx(Jp7O+Z|zpUy7kvr7s%PT6w1!+K4X~c^u9=H zeuRjQR<6eWikhTX``+xG%AL5jFlJ)*ynijmOLL!G>9NdOR*|IoFs0t0hu@jIuvSIm zxDjjmQX_l0&1y66FL}1-{B`4bW?}6rFAx2@ky_?pJLlyO-`7(P-sXDBnG#ah!7CS^ zp%Qedb!Wf1JL5%#`VQCI#dq_p_B<{5bLjN4UnZXgnJX^NEK54${pQ$iy>S2iCnj#; zX%Vtou`jS)Fyq<vB<UQlB!+!$^&c)|t=5Wp+j^EaZL_i3|LsqXWO!wiTBO^4*wdqT z>y><g>h=V|ogxqJOjrK&c2+=D^wQ~Zt+Q+1mU#3;Wlc}Ch|Se3DQk@3IzI0N%esa+ zJEIPEyD@J4wlb6_pZV2Fjw_}{KE2$W=O?yDmmRf|5j(oA!zQu1?cj&ce|JPalTN7r zyote2`990zgN;|e2fexTDsJ!n3k!q_Ke=*F7Fqh*bnW{56`L7v%sFlErxFq)yes;s zOOavD<4Ig!ls;dSlUV4s@!&z>Q;Qx%O`Vc<ic8o0kd@+oy@|WHbdGJ(R&iLzVZ3p< z9^0p@g4e3g?aJA|?fk{iuDMa7+;aOuER=42J94T1S<h@0_U*ryHqH;}|MWVY^TC}b zR+d6<OwKfRd0*Y^*E~gH-G#d*MQ2~ly81Oi^sUpSSxe%z!!36ms{9n^;lIsym+c&3 zu|8A7X*WuA{>P}knEuk7efxrw+qSzacHiG}cF}|mHKA%Jr&UqOlf=HQh`%p<y`|>r zT%)i4&aZx-vSg_jpKH4G+(jlEdCzsZ+*@AVkKixv+`nbU^K0|<`8{M}l1qMXT+Zoq zsONu}LF9*B;Wu`fU-%$;cVX!%{iNE^6<WfX_p1DT_wMl$c0KgU^K8XAUiIVKKbhMk z>n*-%IInf8C%?e7FI>VGCW;gue5(5^TlckKjoqAvIgRc|D^-tiyVRRjT6T0?lj75G zei^}>e?#l!ovq)CS|)dOocQGWZgS1*-S@m|s)e~;HL&|Ahh6W<<4Jk{>Q!VW@87yd zZ#@cL`gnGo-ojC`VP}2I%nRu^7yqo7<*;*w<{e(A-LrH(w?C52vo4%8wRmz_%EXx; zH-E^wE!(tECu8@-o37I?KD54OT5r|%a;2Ad;+qCVcEJ<xt!wA)_+7Mt?Q>(lx>CQL zhP6~~x9K6JRAryM_La8`K9`BkI(%))3SNd2`4Llf9B&D1-KZGPxo_d|?N7Ibdj6`N zV{ydY+OK%h>{#Xan(Z+=qmOG(Q`^2<?3CU<gD=a3J~`ODI6AMRMp$y|_P<L6rK{ZP znU`}}JZ%lr^ov~(6>jr(y4Dq@i#xW|M@9t8-*|ObCr4||B7-BBfA4+7l6ClnyPn_{ z)09Pr-!7bWT5Q?HpqahZ7Jq-mE}3?!K&ptXHud-+fyFP)efG+KYkBx)`Wf%JqRulO zxqW-{Cvp0*1eVT<bEm$Vg(P=8k(qcSEa#p7-R)EBAKi5QEWYpK%$G;3trB={T2*f^ zT)lPSi?e?oJ@Rl^YQ)gE^_8h#nMlQtYuDRO<mx>B{xkL0){NH?r~OqO8I!kF__)Mu z5ma6zGDYKkjJ?!{=Qj>L;0{}){G+|<W3zqzrtONa4hAM|{yE2eb?e5vM}DW4X}0U# z>8kwy`?9}F`^1>~W6pA?)~!<9Sz~+f^Ix+sD`p(5{5^%~w&<<Ne;XpsZs^SMVtuBR zD6L<-Ycj`ov9Mb^oBEh{ytIfk=TC~dx}JHB|0cs68J$IZN8fGol8ZX(t#d5YUbo@i z?saeF_8wYQRVvq|GR1a5t&ZNlCb>78MW%1jT+0?FBlP4ii<s)wkNx#_D^+GY>fYor z7TlFOKXeoK<~_nUY|Ljq<;gERw`Q`D^|43s&40Q6av$4W{A0<Fi_<LT%J%D7@7i@x zfAP!a%hFG-F)du1`)0z6(nOEdDQY{qmrl4^FRkj>cc@IQJAyrVb<*E^mYMJV$oeOJ zTQzyz=J%Fa`<~tBH#3<2M>=c!>cV<iIjv(#%Z%4fa@Z@lYe(NtpQpR4=2;azX?bH8 zwopPehw-7ZTh8vz&1-v(ZqF0rHm+p28Qk;b$n#+SXMB+?Q=9G_-jUd}O5fC0p-lJ7 zm8hG(-!2^4ab<tQhugev8*BAnsN9h5%PUd&`{(f$F0QKHs)?xyR>ybp{uVL2e|Cq} z!g}}1E4tHTuBF`(US6HAEk1K^0rv?l{h+}5#v5$gn-srv==_|#ZMn180=IM%*}lpv zHS%%alo|w1gbQu(dlYP3`nJMf!ezH(@4*$Xm-{DL7QJQ4xX@uYd*0@&yQNtrZdKkh zh&(s{(3Gj}H+Qy2)o%Lq?AN@xH{whjgtllrHZ>BiKfa3PUao!o(b*g`pYPS!Eh=9k zC(3^1(S7CH$EsV-7V>I;Yr8Y$@s>x`&$|6)ACecd>O5a6ov>v7!g5IwV<l(9|MS+m zbWFcBjlpDxci%$$N0mhq8?|l1a>O>?*z)9k@uWr7r(T}9ahCm-Dkm?SjF$Go_fuPc z1g6y7t6}Vv6K<>5T3r~w$Tek7a>~}`s!Kr?KCkPwml%2*+uRm)2t8FRKK+~qmvd)p zMmFpD@6ioHlPgzD&7V_OeMZ_zT3GvGV#imNI|?=jGWTz~`Bvi8vSKmY8@b9s38%Vj zbDQ^0SfE?`sCC7YA6utHHFZ=9pG|qPIrOP23$JkIy=Bj<tQ+1uuFng6BkPuNLHuF= z(Lg(2(M|SINm*<y?2)S<=1Hb5){jlzB7VG7>7UP}m6|s<pJ@?EYuc8ysdZcDjycoT zge3osp1){Es5Q&&<Mq>nb}XN+G28l()UBGSClrmEK2=tTaei5OCHqX1_*xlH<z3;E z7k6|Xmgg6ku(@lONXV^=YAgQK@4fr|fsg!ici!Bn4<~&lq#IZjYVZp&-`Fxy<}Fut zjtCo%ykPg%kV7wz1a>vQ7JlD!dD=v2*_SI68$$cHc?YeX)vh*meZ}fCwo2*~=N7G% zs!tP;j@hsM^psSbvFn00h1p`IPcwqbIK$PRuDJWvJlNcL%Nl08{+W$$cy9^roLhg} z!l1Oc(QN)dOHEtHjjVg-+no7e;w>z!UgY&oRdcRN^^PacS4f=eS~!>g$V>kdt{U9M zy$^kE@oo+Kayr;^b!>>KK)H3)1BZ34^~ySv8Ki!He_H%%;u()DY1ZwJel5OizHI)D z_fz(rQ2ERDXMgbAbSDEbf1koSwzhk7=Qx(<)}OX|W-~MF%v-re%c~OSU#&X5OMK1Z zMSoVz4{ds@Ho^I%)AK9-n{#|~c~>yJ=ReL+(XeDm!OMhOzRqvC{zP5j?qU-;t2asQ z?B;smS5vI?d7g3iN(o$?w1MYS9`~#pIo#LF*^JgdPzn*Ya!+rZdN{=9;!@v76*32T z%9S~!mmQ6*k9n%5AG_Ujk)j40b5VzS{oa@pQ+?lOn+LkD6w<r-dch?{qm|ou`~JuO zXSup-N#)0Ki|YbM`qwXda>FMjK(b|Nd3{#-0^7jQQ*LXHe)_XaMsf{DuVZgk@akzc z^JEv>9iMVvLsIy`XCv2HQ$xFiJ9xuZF1f4yhx6iEakf)wuf6Nn+`U`2_+5iZ=+wf0 zf8~7kuNTa8+EjSqLYwp<o;9<snU)%~{ds<2hSj?JLB&0N;@j&!hV7ot7VxFDanp0w z^Wh=s6>{e%zcTGUe<oh^n_ja|r(z+qaAHy1WyY6oi4XJt>sv`4eI~UiV&m;ZsSWlU zU3knR`26IRLZ#j{OFX-~bNag=7PfkIJHyIW3!??UwkY1^neuN1&xb9qCplYx6fo}P za1%&wU6Nk#FX}_uw;fg5j~}K6+L+CBJG|?%M02b=`%5lnhuzg#`*ZlELO-#DO}0Ok zaz>hsPmR54-?t*UdnYIL-hH2u^Cy(;_`zq>p8prqJo{^^tb*-hiH9c>n`WzhyUDVe ztzO%H<H|J+7uMZXTj%uW4p02w!ac56&YP>O2*}#Pv;5e%EeEpX)=Q~hdh$WR%<Zeq z-8Yi6#P-O%*p+@^Tf$3?Sx&95)_a;x39DY&*>gH&pO9I((ZW0JFHN<27QQ_m`h54L z?PW!iR^5<`c5IX2sV&H?F5bWP)x~u>&jkOp<=JqH)eGEiEA6&?z%60$<FXXrQ-OnL zy)S>~zT8_}va<c(L#7NN5&H~7hXSeHH`R7KZrp14WFlK^cxu1kjqF?T_hTk8MBUH6 zEpymhT-Gj9(A~G#WYdv@>5<+-Vux-Xj|x|)T6JI8<Ds3!vp<)GC$a>1JU1w<cyPX~ z%lt?A)Q&mz6HeC8m1mh{tGgjoMq`Rnq}0MaGp}2S-LIP*^F`T4O=LIIOdE->O1*Oo zICsa++#<Yq>GFlVv$wrb`CIM#Kr!RDnD4WOOV%@W>q}iK&zen_)GQNooV|8Q(%Ma5 z{?*UCXu_+0#C?v4*Wbf+E>6DP4`+6q2%VhwG4zhrS_xq-W5z!{-1WQCtkVob%#}7- zzsL?L+%Iuih2w_&!`v7D8NPir6j-ddMP|Q4>|x>H4=avV2L`cT^<;hf%tigJ*!s7x zBAQuw`L}lQ%v4SAi#9m%x|=UcefbtWRpx6&afO#snC+D_7hJn?_psr~f<KZ52fJ*P zuX(=H>DkA_dV=?E!|QvK3x4?3E7YFccieDog2Uh04gL$A3&ZwY`(k$7lDn`;SJ%x; zVBtm|<6r;wd2P1&x%bJRME+C$C)aM6vBzH8G3oP)sY@0vXi9stgWodje#@`udch|q zUVAN0L`WUfzc9nb`fCMyPut5&OpyV*j@W6-vqtY+^nc5Fhh6&(l2<ZzRvt8d>in@@ zUAE#`LvqAp^ZR)}zAo)!(A57md)C}-FGIYGRoQs{87M0Y&3V5=e*URLZ*CcImnl_- z)i3;?wexLGa=(OE+D*Z~E0fLB&vY(t|DoG`N6^8WegC-ymiIS&e{{HCxbMLv{k@m3 z@7|#qQ#J3&mg`&3CVf+|F}!(dlW5wD9ohFbKQODmllNmrNkqaKkEd}-k!+ehT#MTN z{Buo~WZJ0{n4dn4bz@SXO7@IB%(~N!c0WHBlhpU*?1B`Ro2$9z?$8KXxma-pkF?j9 z8)vN?5?Ah@ern5dm-OqeLv$aV?tLu&{h-S{|D3Mp*Q(yletFjQ<<d|dyS0)p^cLTI z7Jfq6<_>4qWBK}!RgrP)WE)m3{bOBdpLZ#XuPU|nk-{6hE6jR#HlDqju+VQZW5LWz zZpq2kY`dTQI{L*@uhq`7_MqV7M1wo)F07hW8T~$kdFkfE6)!`ic14v6xH;~sGdgpJ zZ=Td{%Rjd^{Wx^rE9F_}zM6|AY++(<i~+Tu1D<Ctf6XU7`|DYkef5GV$=$hARUW>v z_^1%R&dOy{x|X%U?%F@SpK@3Hu-)SE<;vpJUw_gprYF`v$`KE}^wp*Cv(4V4D>pC} zCtp53li?^&B71@7%iy9pwb5CelbIF2Rr7wZv)Fk?(O|~;L~WIH<!w*q_}(lDbV}7@ zzuD0$e&Sx-sg+U|o!V`+eDD41pD*9I!rxAEdu{qg{rYRYw=DiOtu9iqE?!(2dGVy5 zz!7d&C0?ew^Ik%=yFVYk-`1%aY#hkzIM2PV{@||pI}d(nR`*vh{`2Q~<nsh!xq#AH z&(2<68&mx<)O1VRj}xqm-pN{gdKD3+QRSp4?eb2hsHm>y*aD~9hZtoO7FTW1XRDvh zn`;)tcEzUc%P-F&<Hl{e{HNMIYrOYoTJK%fQuq7Ee7Cj6QU~IG@PA-yKOq@ct03vg z{MWB^>Aj*=zvg$9_8gUo-rNvW<7{$)wXt=p#l2JS_Qm#1Z@<}a?Ns2(qvB6a=bXLm zFIIPn^JUoo?8=Q9y4lKW9t*saxf%ViJgMPZedbGRgFQRLMca0jGRH2=TbL;3_%QCi z=8A{Bj8T4y-|SWgT#!Dt=$ZMPqZeLWD0+I6b&HC==3Pfa8N+;yzxTUCxt?^*zEaX+ zXEpO}X4{=LmA4fp_&F|^nKD0ZX5BQO#eeEfy;SU)7=8D4@tqq9lT|mGdz}33+8`XA zn8Nx+Jz{VDr4wg#1xtNjIo_D)F~dRq_wKs_9ZtW0@!a}Te%oqt`Rn(`6mregO3ynh zhbwL6spzx{P3ciFnB<prlKYt8$NuG4ULE+k`}HKv<H0|~e|jyOJa?T&{wwxP%+Hld zrnA3p-m+rjjXRsRF)uNj%2^zG$;?*kwEyMog*R;9S?uc4n;TQl!g}rN-h1MDJoT2< z2QO%Zr)^Sy|1HWQT0MKsCfzTpzNYmSGju-wmYTagQJIBnP2Gv@&u6e~@MnMGv|QNF zLjL2UyFA|F3Yum2O*E<^L%02LVmhb&JhE@u%Ece9EuDF0hGEW>Vjhv)i^{(?SuL(M z<J7g!d13uvN5r{jOZ4-mUaw#NJ>$~MK(V}zZH|dg6(bxP-2bXY8R{p`U3P0;;`EJ` zf9jw9SGHTMD|AHT($yU=-qgIB7`WSd6SMVR9nD(RE&GhSo<_C(+<RNq<CyuYB~MPA zu)o@S!$(#-=*-PIZeq<9W^6s-W=v{fPdc(bFc<pr8^k0&+{CR=+O_CD$NqO}^>GhG zw-kt1-caDJ3tYstvEYZQLt^>7vO1rOT`o&*ib^WEMFe>>6mE^s-Rx0xSn=z%6*|_u zlAa&@Kk-yV<-0v!EfI9fDy>?$cf-yGuExHw=Q#&zPFr~uywq;`_apalw8R3HT+x!w z?++|qXv_C&I*LX{=BLHfKMLq6T=I#Bul_>*JKG1vS8cdegl(hhZ58$f)YpXx>3qrk z|99KppJt*8*WZ4hvgOK^oqAbsyymmF$p17kPK@r{FDrKG<xxe6f2Vwma_4p%ERemI zT2l4*=fYz`r(Bj>>h@L2-jjVHvHMfb<2^^;Kb-u<nd|eC$9tDeU6Y}7<cr?E>&|JC zGgroMt7qcoENFUe%DJ7NCneLnc>kxVX9U%)URRuX#2NPSOK)LQbY<*0RUhZ)P2ucm zWxtPE{4j7z);KRL!GB&<ZARaUla<e=ojF?Bae2Gy!?egZM@;+*b$)dQ+xqCG9zPqJ z^!e}e+iPat5#E*kcVnuwn)^SVds0dfA7XYL;(BoM2jAiP{Mig8C87(@mDNvsowqNo z!(e{y^vS<>r2aqq<(>JoN1jg@6RX4K6z^1PX_;KQe4l*0#A4=nYfq~v*~T-@v#!c4 zi27$W+1si4knxEU|Eq6WM7%ZAzU$vow+@s!^!CrHeSO&vKkX@=l6Xa9jj^ZujYTtF z_tx8nyk5x1{qC9jbl>{7;no?QEtwPQRo6}w++*y`Blc-pY}Uzx8*3J(ZDRWBqBeKk z7K_f~m$>U*zy3D8_Lt#@%=z9A1O5M9nVfRzg}CVR-XHhxENv@DZe_7Qc04>hZ^4rj zA3nN?C`+kFn4C>JvOTYMBSW&5kGTCI<%)GXKY6$dxbn6fULde_Z-gj&VZA3~xY#~^ z0sE=5cq3dqlf(R#at;((u}-?^SrT2qsu`VmWu*q=`gzh~rKa_3BkXfBR~_u*TH!pw zd-mB#+uja_zKmImvJUCqP<pmhY=uWgQxKb=Sk!uR!40fyZGS(_zsSGAF);q6oQUz# zEN_{@DvR@TI!+fp>rQ|6XJypll)LrM!{<dl;tZWCVPrZZc-`gC316lqhHp5d>XjUB z`{A?tievc+1_>Jzg#vP9&BRXco8i<O+p=KIbk*q@(O+ln{&F|YYKe=J{Ve~_T`!rZ zF<x7EeO3PJ86^d$-(`!P{{CX)o@};fUt-kHJ$$}BO2>F@`K<f@b*3>%cb<Ri#d&(U z!NmHF#oL_CdW5%3GV*Wz_IFXo4aue!H=&xx`(3uCtJxY!R96<+SLrOMc&sz6hkNa! z1R0r!2O5fYxHe3w5-FZ;{FCuX<tO=xTh}BWd^Sg6$D10P)Gg26S@x>^ITUOAbG2}~ z<7!rsbncql+KW6Er(J!TAf2Q;MO4sl)8{{azxy`J)#t_^?OA(FFS`G7SLW>eSfO80 z_e%<S8)vq1zPcE>Y`MhDik*8>d5;&LH#~IqL&U!%zx$Gp)mDA3YYXdC^9VHA@hqH0 zw{Aj@`uVjcmEzuqGdmU~8%y8zQY@}uy>Rf%vTO5}fB2hoN-MxiuvR?hzOhh96|0NH z!K7U^x<bMoE(P@~)2{iPzjx(m!!+sY^vFkjg|F8x_#2g{yD<1D-&D;r8$?q@yi@i0 z_V4yym6Rgis-<@NPgdfR=71w7nKVScc4e+eu@VctpVQJ~&2+$Hsz=-*$(tumsXm+1 zaX&@Qild5e&$K}4XN$fR?b`HZ-Pv5pzFletVO!U|{rlSE5X0OF@%49?x_|KJiOinK zUZ7wi%hUh&z2@z$5l&Zpd~@oLMqkyu`TY3ntMj4?rhfeQgGHt}eE-pm$r3uu&LK?k zLF=nl1@iw8T>rB;?U;!6IhW$e${Y(Xo@z6=wBzp%jTy=5kB)p$vDSa1a_i^c8Js_u z`XY0W>SoV>|HIt1a|%z+$CS_K>KpxEZ?62XNV@d1XZx;*oczxjtM9l?R5AJR?u8T2 zw=EUlH&!<7J9qVPTc)Cx?x&lD8!THg9J*etyxw-jb-vV}PYs8Us62h=b>Aa*NxfS9 zk3aK2Xsp`w>qbcDo+R%F|JmkV?i5lwyVR#*(oY}5{b!epo-j&Dd{wWIV_EU!{i3ML z^|d$4))?i8h|Mt03qM?G>F}|xvRbiob^fAvJN0MrSKVZrV`O#d;W~{69=<<yyZdA3 z)`;tTz4L4Tc00$QLpHMhR*xnAe7VVXDs=C~)x4Ek510IV>z!_P{q?a<8C~H-mvFZA z&So2S*WIq3#w^HJ^l43Or(1()Qb^f8_qCNfx-Zsm%ZV{JTTmUcC3fP=e_dW8w;60= z6(#GNqb2O`2xVU9;@nnyekw<#;G}%^o2jP`#Kgx4r{{52WO`a3I(KAp<ut|QMO%E# zrsS6tGdk|?sd9d9y8D{pjwuIJ?Qa`!cVD%*J7<ZGi&NnwpU#I;YOFGsdfw(P5NcmD z?HTKxh;*jZ`ak_EkCp2F$zLL0?X%9B|Fv}FwtSa+_N$bv6rVfJzg8Wm`Gb8%y_vbV z{qo8;pH#CZRB}9TmENyj`AWG!@n5Pfv!uq>w?QQx&hiUiPRV$>-|20qVa%-`+uGXX z%UMp``Cr+uwg1TH;K`F_#Q1Dh*}zbH^+%6ocXU>k-lN)I^7i$K22&y(#U8xipT0Tn z;Zj!r(nCiX<hI{xnEmpb(3{ZvGlYLF{Jp~a%Recl^FJBStrcTf=iq*HzIxKxsay8- zC^-v=Y;_GZ?+^MkXQ$skN$23&zppk}SZ-e6AvnoWe$Ay5_8o_+M2`IwewKEqo<qxB z;g}t_`{7+eEMeazl{l6h?yrC58Y6g5);!D2EnA*tg~BuYbKaVvE00g*D{#JE%9cK5 z(Jc;MrHe`r69h79PA?9B6C+cuJl*(O(1Y^_47Hj0oHSI|O@C(b)bipZU0pW=w|V*! z4s*8o&FkDR(%f_6<=#bSKRdZOGH2`CF5cJvqw1X667GWD`SCt`=bK8sJ<_+TzE+a+ zYP0?7-n7Cn|H^E3E^U)<fyGy+cRa8>u$S@o<^=XCv16AxC;mM%*YmhlfZ~-2n~$^I znS88%d92aDHruKLWx=gCw%!v+G2L@iUtYaaV7aTE9Os6|%s;l~3MQWV=zEB1o7rs- zpHnT&yldZx9_HTb+Fiq}7J2l?#P(OS7ksK0m00^_?UNse9N8XR&+dJDBxR3}Q{vi! z)Cp-bxb|_KdB4k6vz&#u&u+7!M3M8==5r}7%csg*%VcOTTUf+CVZ*i}?FaIFYf76x z$!~jd;?nfkud#CutUemYv9nUudqIld)W%*8f&56;Z#AO#PVbfZce;YJRh@mS+!7t` z(_d_b4%T!2st?sPu+%l&c6#y6=da46cj=w1IVn3S=Xl;W_vI5Bnmup#o&I9GNo1P( zrGPc|%-Nr3ZcY!m-DBDI_Ljq|>AT#HTV1bV-_;mY==-wv_2X%RNe2Il!={`tw*LKa zv+|wtNy44oF|V#<vmEg~mddgCyO+A#n;-kHKR6|OGOOrBWBs!!M~;45^m5HTYqRIM zU+cuZCwz#t{90zW<Wl%<zf<WYSF~NVcu&1ww1_+89jn*Fc(vsQUbCiec>L`0<>OB4 zvS;s|^h=?2-d3U9BmZ;_*Tu{fnV69G@j}hF=H~x5ci9>Qdz|%buG+j?>)P#x*%yx6 zJ*wdM3^*V2qS<Mcu9!`wV|`CyQ@YK}pj)pdA9CDaKJm{P5yRW__ZvUBaCgZCt;rAD zr><Bf>pA5{;jzQV7#3vxVQ@2!pT6k7!Yh~WQx4w0wvkyngV$;6VJWMFi}E9n<VkDY zeD)~7V>)-$w4EZ`Z#N|RFgbtTd}yLV8pra9JUdT`PyF#vsMWsYNU5vbUZGy@d-WOS zN}T@>UlhLY>d}*Q;ZsCJ=<erJoR@Td)H%pI=hxPp7e6k#6z%G;FuYgUbyd19A~jKE zj`Kp^82uzaMX@szOIGdgKc1ofw({hykLzwQUQ?b^UjBdjZLMQ9_37q;kAhiOxE1hC z{AnW=EE4rb$yi4B<s+}mdlC*+JLkQ(_$ZKA&oe{IeY(+Yfyw&1x^4ZFcDT+yJ@3Q3 z>sz%aZQwqWVRh<Ww#422UCr0^1CBJRmX~y1thK-P`PKP{^X};x{xHAa_OXFK^2EGv z4uay-a*by0S;>3k#FYf)zq0#9mCx->P7T-1y$~(4qS}w+)YEy*FIKWH>C@RQJSWro z#OD{yzrQoq8`sZ$XcD0CTT<Y;y<Ny=$6dKIcD#Sc9(TFgjNLE5*7eB5-c3axI)8|6 z``@dP=<|DNj?1@m&415nE@S(3bH`cPveXpKOWl#*a_&6oSmtcX(E7lsYt_MQkNf)_ zAM%tjiZE=KspEc=pYXk5Qq)9=P0M0Re*NS4)!(pl@y|tDm1FBe@-kH=PB|`l<>sB* zGR^nV)@F-qGm^efP``BJgUfE++J!5OH}WutF6NAqEmIcwq{_7GuJF`m=~8Ldbv?E3 zTx~K}eM?&)@Lbqy!lO_8EwQW9wYJ5UGwwUO`O^h9fjgWG(epQGd#<!8Xw#PYmD&BC zLsF^D)bMEck{NH}k`}(XRll}RP9h~XU;V4uF}=*9mctq0O&9)l8vat=GWmk-^Osq^ zpY-m>{(Q9|>e}6mvo3Mr9&ff>TsV!XWn(6Ti@e*Rb@^Z4H!W|g<LUjT#>-l8tHx`N z(!O@bZ7z|!7BqgA-Ps{9ll|Gf<qcXbtLDw*d>3^7=3VyZ5-Yp3u1@_HaYnnLe!H{5 z1iL`XD<4!ULsC}>KB~)XQ>|Zp=zQ7bcqzrau-X34clS(uRH9ePlQQ32Wv$pkpIw<E z>~3Fq_%yHeUW$!lV6T}aw7u=JH0LIP(+TrFNvA2y;84m+uBw@N^qcjyITw6<O*^lf zn94Dy9pVZ+H8;Yodi}L84MNH<+n&w4yS_e|qmrTbaL9ot-H(l0OnNRC)z7;xwC&Zo zuW#l}RM3kP-<;6ORNUIXhuiQDf0I+?tF!yWkLtSevm5vse++*VKa)51KP%ggjhj9& zy7af2@k#%c&XD%rw{70NqWycsyULeT>SrFxX!U2b-@+64Ie1HbDF4F5ZVtgekuPl5 zy}EIxp7m%@MY~0<&}2=szzxwGOy8P3oj2{rG^KXI2V9G1*H3b|RCsV_c-XnBWj{_V z4m%f~`qKV>{PN@*XSN=EE0$P$diDvW?d_|cC(Nq;T+6^z*^wl-qc}+V2!Gwl%$L3Y zt#=DNc4~jVneo=9AB=2U@10E-nAG;T!@GIWpHqL&v>BS#pOKxAD|Eh(^G|5ZO!13H zFS@p_T%9NK`?BGTcUk<kJPxI1C!Thv@J9u`e9f!(eEXTTi!Yzw`>4ua&zO%h;Dq*J z->Y>cDL2k9RXMS_WYxK>npb-hC%N1{__c<;ljY}D<?bsYMFlq$|9SqdzJD=u`(Nvn z?^4n;mM~^}oZ9i}@1Fzp0#|L=*G&kzUc2JWt!<|#ykK1?vwi#Sm5Xfp*56vZC_Bq1 zzV5E=vi&m^(}LFQz5n)nu*Z(6FD)eX_!bl??rxF)#+)$CvbR^j_;~t?r9Jb{L>l?F zJ`$dy?(jbJa^3P@e%USy{=V!e*)sWQ&w=i{dlYX98%Ovo==S+|p4t6JU{6B5g!d%> zHS$WEmoHiJmuL0vqps>QD(N2<m@i%&ns|Nx&hHIw860mOy*U5<?ir!3Lkrs*_pMQ{ zPkRyO6?3X@(YzPRk~2?*>Z~-HeO)=&>!ldC&+NYvGani$%I0;M-}EWIlb)u;pgQfy zEU%7JRmxL#-(0x-#h<(9v=eu|Jb2>m|5W$-I?XpTc3XxBPU-r4eQQw7YH^-(HedC- z7L?8Wr{&ev-=0|;)^S7Ws@>%MvnFyxdaRW1ioG7%>dmmNi_PIu{K;xn-Qbe)eQ#4Y zU*4wg*DfA9QMRAw+1cp)+t;`G+*5v0qkH_w)JUlpS%xQnrLWq*r*hFp5j*|9qNfiM zO3dO_f&-eg&e-g#*t;k#N}o&kU8C!7ribP;PyB7Y5UZKznz*%HZ2H|TlJ&<5_wP*h zndVwv{A|OnTQXL=INR%=oq4~h`i?_o_4!v<EsP_zBlT)+83ZARa0jF^w+E!Mv<IZJ zwg;rLZ4XFge`%y+VPt4%U}9llq-$WTZeXCU$))d`pW>2OlB%HLVr67tXlejgGCg$$ z`w1o^3-BE>dn0e>E%p$o{T}}1@w%|EizX&pwnS-`t($ygvZvbgnOAKDq;J1T@L)Kv z^80J_-CjfS<m19yf`oY5o?TfPJbhijJE7))cA?uvA5U~-8`<1y339G0;7DE8D$lZT zTkG`LuKi|lg_4H8r>CSJdBQWlO!g2@`?nR^9La2Pf>R9oy6b}vatOcb^3}<Dc<M*C zC7WFyXHsxud?epS-{^IYIcWt=*L9->_MBRI^YM`~fi=E4iXUgRNb4yrZ<)<=Ueb|c zM~CB%UhmlzPj+Y=ejgjtA|+PH(`7DYR;cmZHfz?AL^V~tLY++gq(Td4hm7ryIsP=1 zbFsAkh&?>%y-}yP<nj9HnzKGMKRA-Q!$g#?&f)U^KbzU-$Iq`}I$k(M_SZ`b&Uu?G zUatH+ar=S%bM~_H?#lcrEUc8(-_s%WH@kitk8P#@i?cyVFK4qi|7iVlcl(6CeVQM> zij}IcJ&)$+yR=b0HDlI`gBPl;zBb>qv7h>W%Kfh^544^$(&stGeo^O3=f(Qw*=OSK z2=;BaeR4jc=aEpc{?3oapQL3DFtinLxEJuK7jUJ2U_AGbNiUH_{vp@8hirC-b?+Rs zwK*XE;lSr(r_ZqGe|)08Qr!1}pqz02pS$Os>SwLBQ~#7|&{imOOz>Z<j?Ih)elC_D z7ms*9H)vh?htuKjpAU&rhfLzs44N&^)W^?%R>#8lhxxu@3#*F&>qLITBR{@#&f9aO z|BCii^}kL>M2-kov^`Iq^K<g?fV&&4E`DFJ`D<ydVWUV;y<o<kmH(OJ=d$TfXcNj{ zyJ_>W_C(#W4K1lAj+c)YK03_*gH!(l*Z)KN>xBNVldY6d_&LQ|BIEkr{r{>aySW|y z{qSpU{X)UwcDI1jwZU!7!eQ=G8@FdX5h?u;@omwyhEF$n<_bTJo2Zj!>8<bUC6g@g zWhYbn>(|Fs3y$1$>{L8_EcD<jFRwR7s%yMBR|h0*4{*9`r0O<vlC!9mk<U5<hj#`# z6Xbk-72i6Xv^cR<dU1-Wt^Ja?ReNUq{_rWar2PMf4Ug(u^OqkzwxsPQi{!&;mlr&p zK1Jzfc3{^PhN}HpbGG&u&UZV0c=G0{h41+0-;R-5p5^6!%(BM*@ejLWynO5JZ04-# z5w`9*ylR?s`f7>t`?+%rqI#tlEb-?GJUwT<YHZifwhjBIFWKw0=W5TaM7ar?pSF6< z+RdMtzWDUPjF46HZf&VIbKOz-F~vx9kF#KH*4m8CKc`)>SR)XwH_J%-%IYQYS3LG_ zb+XgnTVQ;)^5JcdugjdaF16ZOz4Xz;pVuEgeLj^x&q@DO**3!)H=gG99K9d9=+~kX zHc9QuDdLx(ag{KXsm)rZ_Ij1*$}O_Ix3+(kUfb*PZtB~8H?;rG@9}F-fBvGrTUy_% zyo&MnBRk`koc$ZMkN53+!s=OalKayaUCB)`qPp4D#y9VI)aeTO+!UN_;SznV_K}vD zSM9=YJ};KPl)fXZ7o5X(|MneInQh^o()aGl#Z8wn>p1M$y?sa4U)jj;CEIWO-rIV6 z`lQ`C+u!Kj-Ed~Y#iHs>Yf`hz*9(;w)z@49DB5E7?Qyb%js9QVZ&{^9AN5MV6&~K9 z>6vrsxu90?tD>c+Zl|q`KDlny&bdXamp6)auI$=jDEKB+LO<`lU*G!)ue|kV-`}Gr z_qZV4&VY4Uu-^7<Qywqd9wIAy`^Gip?cwgL_pbafN#)<lpAjq<jn+zNe_Q3y%zyoP zd}@4!WWD=G*KM{v8{%J`E^JX|XJr#s4V4VlewvxM)N}6N=s11;#g%eyov%K`uUhu* z`h9-8x%1?v`QE*#p0qG^S@Sh3mmM{^Yjm|z!{)B*ohm)6_t>qH{!GW)YaVzn{rNFS zQv7B42cyvT$!E`AnEjmNwb4TJMO(8Lx^Y^SrFXu6xO?{J`j$lvdn#8f=stPLe>20v z5)11U%NaK=+#}=qxI)Oq_)CJp++Q8JZ<asFKegS*s>Ad1y16s@q_(oGn&iiPsN$Sr zV%|xA)x~B;fj<HZ7w(<-dDi45xzp6ve)Hb>^OXE4<1Q)JNoBK(4s`7n?LK9DYoWHd z*p<Z{Wj$*<vhy-5v_5IoR~_7Rp<}~oxhVgxYrlVI#tHmenNvSc`?l=e=TlYo3Dvw4 zyL@hW#*q}A>mRn8|Ga&nbIOC{*H3<JbJyKoaC&>1)}aUSc4@Cd;*PA4uUM(SU$LOL z?BB;Pi?%SI4$fC?KFQcTNmqv5bJoc@jlW(WY@I$opt4NfXH%uuinYc`jiJ5u?dH!; z<R0LYKlhH$ZRx^DZi9X72HUw4qSf}5=H$srKUK}qm}+X4SJIe!ddbGNvkOaeHqDJn zPF~Z}Th^F+`o#La-nSoCMjpD>dE?gcywZ%aX0yO1wcl%;a?8X|+)7U?O*waa;zzv+ zTlx3AI&nl!F{_kuZS9(n=4WP$4wPtL@TwQgvW|7QZJN81Ij61ZvKW`yYo>(X9E<qY z3UV#WD9u)vT#~vu_V`r}mWy4dU#SS)`hK)Dqt_#0(b?Hy>Ms|UW`{bfNm&Vp&YgOx z{i<hA-fhmS2Ti9OtB78^$yNM8S>FEF9MY9XG#J-B$i7zj@&7l4Fw4Uyr2>C!y(yRb z!~FTwdh^f0cg&A@?|l01VWradIiL1FfA#WnLKE-GM>4GI`Igyb+!ffXaq;Yp?eURw zw2yV<33uq#xEvQ-*fvpqZhYMQOEtV%Pu>4=^)&sN5pQ08D`DHd=D@veuI1|zMfh*t zdh{nS>y>h{^fZa8h|iBA{nv-?k$eA>zsExV6<2CrN^!~ba7{+u=@ARr;{uJ$4csi; z937p^42<23U0f}V++0n}%ne+fjVuhEERF0GYzQieg<T7xU}|bQIiX#%UiGqfj!&Wl z+k^LWi_?}F%PwCmeyk+w>guekTTOLCeeS-#Bz0@y)m?fsZg`Za3Qn95F=2v`+1+_l zHymK{%wDPzX7<LV!&g|FyXEsfGyi+<&;0-U{Qti>hQ`0SS63t{8uK4X2s?IZz0%Yr zO**PiQ#d1i#3qV3J-@eNvD~$n8LNfrg_@4qziv12Zr`Eeqol&Mx^`_}s|s7T{c65x zb*A%=dTM%3U_GLos>1oYUT}_Oytu(Ptp~@7-yS!ve!9UaXz8|9ExlqPMP~iWzgx6V zSCTpHeYopp!t~YoTh}$FBwYWjmF=PXFt?&KMUqo(zLoqI+c#Htsa@izwppLJ#hW{` zt$yzxxizzEmzS<SQFP<Xl2c)unzl?V+cc@<z&-KiZTveM<jc2KFh}yv-oLVlH`4ps z#IH*xmmI9K<GNM%KmWB_q{2@h_s1M|?jMX@nDbO@9iGj$syeB*;A@kWROGjbXC1;P zPs>q_Zn)EMO6DdTkKYPs@ojUzFh9;^i&=ST*W48L`T(C5cl^s3r$4*0%=?b-w+VYw z8s0FkyBl`gv4~;E)X;XjZbu^*zK@ZUG|w-2|J1<JsqG|(=7hyhBqUXnpIAt$3qN5g zc)s{vwa|~Ro-ak5roaAL<ou;>>%mUm)hu%^i%)ebcxE+4X2-iJleo*5IBN2gKU*Ed z^6(4uQjJ5GZM{@=IsSWU9u<(ZS}fxJ<fZu1AeXe=Q-wONy*T~t=l>70J-HWspLB5L gGWECeG3Et4)1#KK%kY|5T3Q$za;d7i`nz!f07kQ;9{>OV delta 34555 zcmZ2<T(0?~+yoUS3$w|EF&g!)lBbJqTYP%A{z0ZwXrxTj1LNYz<2QYbOjo7|r+=TY zBrAby(vD}&%t0GX<M;n<(y=^qj<c8T!i&5wlY5$d1=#n;9kG{)zZ3K4kJr>jtwk=W znFX8KHuM;+ZE|`#(Qnm*G|?Kq*W2^&)yL?R&S{B%q>_B%gMr*H*GcV{ujz$Y)SK1M zynXG{sr~W$|IA&O7on4Q{?xYa_2&CoJ)%}7%v`ae>GsR6uMaBpg_IWLowYFAnpwE& zLDJm1!?RN==bUjn5W89V*S6fMZu5qK&;<=u(^NG=!Wd_;d(U-cJ>e!^Cz1CsKrqUj zv0SPz{;T$P*L1FQ*B`g+dd}zvXfuhrhsM-vF4-1hb!v6jF)h)5@wes{PJXGAv6!cG ziJxPVOzDqh>_2zuTZIaHxOhdR1%^FwT9TkN|JO5Vx$Sv5VQu`&AFg(t*;bY+yOL?e zS}|`?KA!!@{~ntu*J+$6JN3W^$?~O#++)K+&n$l;+W6z^J=5UgY`xYy?2K_1pTm_O zW!Gxe)lX{t^3$@`{LUh|{};c!&e(r%=ZkA+_c{x?OZDkY*3!Dv)BkT<KL48kOZKE( zRo{5(Nt(sHLo;m>k4`RZ%9+W;WGUS6JxJ@M!*TAlhfXd`3fXb%da&`A;`idl%&v!? z-*1o%@!Vm`y<^tZ^AcP5XB_ChRI+K=G2PqC?i)O-dQtZKWWCkASj)(HIlSu(-hP}a z<KW`Ax%Kg}ds6L2%0-8Mzk3;ECZkgLpqAZ9+WgZs#~&-&_e#Ij@X0-U<n-K~$@ea@ zB~{JS5&eHRsyX|9z{Q<>-xsGQM11}smv1WEVf0A1<5y?<^=<FpTFA}oU31dJ?&=b! z-CjaF`qoumY1iBQVQzFvz1E!>a!E|KtcmrH9xj!g?fbumQGaHu`IAo#wYe|Oyqf3! z+gN(b{%1bR`VUuq`u(6}Y7^suyV(^gPG@aumMY1H&%1s8ef;sO;U+tS+Ly5}zvS9= zZ2|X;?O!yOY&(2n$JSi+dq)hp0`_jzj$HDC^?*gmwDN_gjr+dvJpOoxZ>@+&{q+UW z^#Ym`b{tak)b=~XzFB0^y?J}&n{)fuoAvH-5L7>XDE73C@wa_5w+U)k>Rq(0P@a6s ziDSL+;%ndL1*(g=FFz_-url$p$i9QSJqm891j;Q~_I#~-+Q}K6Ck}Ar?=n_9)iy`W z^2ITx!tTjBd-f%%?B!QlrYm%}zlW`=$8B%@jm1s^!L#Rm|HAxR!nff5Lr%{i$x7LC z0jYZy3P<K@t6Uc;pPQa??dq(&>rwMr_uS1kWPE#-pTl#d)K@b<zeA$By=7f}TTZVy z)vxBiZ0eE&Qm1#xR_2*aIc#KfpXDseB*DD_O3N6tzYDy4y60oco|Zk$y%ry1m_E#1 zs5F=D@a@UIEbR4@HtV=>-oN^OPT&nmey)i7e80<%ZrHr|?F60cBBzX(8Ej5sNUUi8 z{iG_PmoGi1Z@Ih0yw9bFWgnk8k$jhL!dw2DOUmVYL{|yykiVmGHTB0j@vJWk49aq> zjVJNeJgnEX(S0t;b*n4(&<U4IO-Xiw7FRiRd2Dvoo_Ba_{v&gHc70S$?wq?_zm@;I z{%XoR=e^*qTl=OJpH*30kR*3<YuTsS1)Jv7?kHCFKQ*bONx7SeL29R8%%RQ3&h9J{ zR?PDYljqz`vhZ8wG)ExZ=eFI#&dOEsg+gtbn}0L7o~cP#SiZ{0s8V8%>Dx`Y*QOYM zQs2k^`bqWanB$YCGHf-PEORfhp1~mHm%;5xa}_e52r`<PDtWKkxK4J(i6y5VoXcMx z6FhPEMokMbcK>%gR$n`1CNUaZp5WYk;>|LZ-Ni9EALeK>CoGIQ_xjj%k)G-eU+<|W z)oJVgN`8It=2my1+uB=x$xJ#f>iHs`k#U9MolRSFu5Ws=^j?Iyw3K|Qu4->#O6r69 zFTdwnNVn-0nTqbqV&9;vw{1)Lv18pW$JyMX)@(Y$vyk0#@!6eX+Pl+^>Min*VPSGO zZ}FR9{ngpi(iiOW(VH*$L{nR0^Y>cg*dm+#_svY#<y5lX<-Yj4&ULAv*oV0M!{@3m z<{YSJbj)iFR1OtgE!X&Y2G8_mnLVrhuJy88{jcv@J3-;FV}i=|v>#_!U)ZR+G2Hkd zxT<%0hc|ybuhV>qP*<O;qEi9`rc7tt!W#M1`}0mMo>jA1c29Y1*5_Bz-;(jc;9ZKA z?9rP$KY3cc`4z@{<DUxeGQr;)wQE{#>^_ufubI~~cd3Um_ZJ6)pt=~pX!AGbKgu>v zvuNr5d*E?yz4j@0uJTKq+wYyNJ;bikFST+}^FM_)GvzDJ8SgW>7~8L&Ry%ZuspQmJ zKUaeT<=dq1IBK;C|9_avH$U_3{nLq8#I5HnDSmS3%vv|Y+iNqgpH`mq{Y2`@9cNnh zoV%gf?$x`uQ(rjRttNeS$C3|A|6b0>S<?4=L%fuGd`2b*v*G*tpF-&$zwZ8S*wbY= z$>h~~n^gN|?S)@5A9(UL+3B8m^Xg~KN6($Ad@mn8YgCW!I4v|kGt#!Lbo+hZ$4i%_ zXFlZ8({11=QFEU&r}z2!Np%x96)?EkK0hYmQ?)1h)A1?q*Qd!``nOwXiVTx;)aCP~ z1*}!cFQb)SE%?BslPmJI_(r`?W9WUeTw_^@zhw*5a<?4~+SS8V5UJQPjW_1bh7!*o zVL4`v(_XKAdG^@}^~ZK!dmUy>i+Mb|HI?a^n0D<np`8c(4^3iMe6pgiy)4ULtwEbD zr+@8g!+j!;r_R0~@51EyN9V?b84B!7ExVK7=g$prwLkRn&i5B~aub-_3^Eot)_*BJ z&uV1v;9B&2wr-ACP2A)^?Q#}!$4-|0KmK>Z)V5U@YhOPWc+e+5MdIP?!1uKrHwq`^ z%l<Vi6rHpqLS~NBl)l<~4kfyG?H-6Gt3=tE?K<hRxSM_M-aoUM9&XXr@4vXgrn60C z+k%c?1z*3Ne8#`yp=_eCkx(AzsU!8yIv(fi4gMc~z4K=7$IrKZ<SZ;{4V&F~JjQV6 zdnLP1muK`E%LX&H{?l0YAo<@z(XVgz$ThgR_cUEJ+LvXvJif1Bxs@7+;?F6$oY&6T z9q*NVB6ssevh9vb$r~rN?Yn66$)hcJ(nFqiH*;ipbyN2@b#xddKem0_arUM7nLC{^ zkz)HS&Tg!~G;NcZTDEx!&&+w>O>H$=1ApkORdu>0d`g}(F;2{h)w@JRxyNTunG^fk zGPjPZ8^0~Od^1aP{8twwSAQ`-HA&)gwcGrov2HWJe%$deaSD5*`fBMXP0{bQmK<K9 ze(C*M{qUDuMJ_i&>`e`h$iBMPxBtQGBS|;^?F!l?5_2ukS>)2KnRO2@Uu|cVc*R?H zta;HwS&zoacQ~c$mk71A_pM!;zu>{f{uKS2`+p}U-C5cC{8O#QcV0_|N3Iv|c>ise zXFGdqdfA`YtaCN;cLTk>`=8I7<{5DG&GBIQDYly57xu?zmS`lFWNp~m^1xF^u738P z&(9v6=Vnz{a%sV;MJz(WD;F&}(Y$N-k3Xh-VH;SKTz6?TSKqq9x~e03vBb6`j?y)I zeu)3CIT<p+k(D>YXP%d<rN#n}#WtSjy<V7b8a#OZrC+UAWX|FDe?BI&Ey&-s((Zp& zD~H~U;Qx9J3**hM1oZUgIHlX_9GHJjwbrWX$$#dpA}?1={%9h+`7zflMMeW~J9Mr@ zZ2n`jPqzCH^&4#nb9wdqo#xxTTOyp>rh7Lsud7W^x%F(V&QA@^=<nCd+l;5A9>2-f z%GfP4>G02g30rRHEIP^`e&04W_WrUW#YG!>yf#)u>7O#1q7?V!L+|IK!Fzw?f2dvj z>t4vJ$aRk__~hQz{rmoD`||ng@?GuhXLcB!sTbz#xVuu~dyH$%<o|V5yMzon#17q` za?I$=sieTS>;Bb?KFgdoE$?v7i)nuh*<$X$eex)|{Hy+t$|rTFy8_pz?T}r)oTb~P zZD-7qK*=s8<yHA-)3(f#nEF3r-6R!Wt>YV+d-rZL$@+3S=viah!aq_=FJ5^2P?=-F zEh%=-54GF3*WWE-t=7K#eXeRoiOn~jZr2OE&fBf!?$6%K{QB4yt34X0j%sxtHaR6; zpm3%|f$a`!r5ulJ?e*BpCEf?VOntU$>H5enhBl=a?ABq;FJ)}FL(acuu5J9$%X-N4 zaJJL2h_<!Qa+iNucgcqJ+*}pLm6?HI&283irSwBZd46W(o2{+SlGxj%d_c!whtPpo zFQ2csAFoxgxjbpYnsv@0fkHNxRtYQ3vXZa%UHiLlanNK1<q5}c2v7Op@WX}2XVtn= zC8u4EE^ph+=6|SK^wy$c*MB{g=N^xDu4S|@6gp+0*7ok!%o~$qShk-w;{Fn#n=F~b z`6XK=SnBTGxKHMS%M;_c-q+{*v2=Dc$xhn+`c309jo(Zu`PWxHlKs0t>WN{e*CUz6 zBcbnh7P((fuhzOCt#JF*Yxh5Obp^YkD!ra*HBVUh_CS-&o~nJ13{%^(SBKWl=$>=! zqI^Wn%SAk=ebSz+%#?8NOuu^QqPN_~D5r%w#r0fsyU#vlJG*gJK)~M5jB1-d*OwV* zw!c06f$u8A#$EIB)0bJD4k&xRaqU#oUHdb5wI_-tigo5oxysaB5sg!9mU=p0Jtb|& zrxoVQ<PF3gzM1+_W%9=Z*Se1>8w*ZLElabh5UxslUa?~CQROW!&6XWf{BbC+|Dy^& zpDknC+N)1CYxiHd=@=yR%F)*RmSps^rhbn4tXUy<`B=Z_M78QH=2QvvD{q{)>C8^k zU%KzidJeVrpPQr@sV4Zq!Oc8xTT3&K*n)2w>J0X)zn!+f$n@y(q1Oq_asG17^5yxD zm?ldvczWr?N=>gnxt<%?wkI`Ba=0$dR(`Jc0=LGD9iCSwOqlCjyRi43aKWE8^%FA3 zCR~ij|6RX!;``!O85@(CyAQGNaQ8CPJpN!(r+3ptrkJ&CwT<DIN;@T<u3WeFrKQ)g zGxopOKiPLPt*`t)?K$&9*D2?I^!`)Z|IjdOX)0$klV0Mke5oG$$j)=znp`Z7(@tGn zx7?NMQ>DnC{5x-}rK~m|a6K_G_H)y3)AvO;)wOH;S~hUMtA7*dz;W+|@fPOD-OZ79 zo9&ECTi#yX@b-Dz>!bU($)?%O{502KhAQ)%U)hD;<=aI66s}(*6~n4%c>3M(h5s8S z&*^N5U02ueeoEN6QqJt`{zs`Z4|S%M2$httYz(V<&VBdBmFW_UK@&6zBGWXrX81|G zxF6diCCGSPcf-ZPwuiR$=W1>^7;p;t#V*^v+*L_p+u}4%7oNipZmyd4rs3JvJP|+s z)%#w0*fnuAcuT#k*?B{A9sBun^_!zSI8LiSsQ989b#SBX>ZShwcZ%FTXzabBu7Ba( zlML%hB7K@lFN%oXd(kLfZF^x=ad_k&GusH|%f+W<ua?N3z0uY6;MlCh-Iwc`pK+Iq zbEh2*ncMOF-t(zCKb9Wt5_Xs1%zIbAFiL`PvZ9)yw33r(?Y39^sgfbg#&)%bN>Zl$ z-1tCnrheL{&qjTI7RrXa@fSZZFfH7*<X)6<*S2f9saw_yy%Bk_rO0)ay>+*xzli7g z$GaPLC3u~-zqeQahU4_fK3wUY^OgG!)&GzXcyGU--|)z(6fZNobf)P>x*gs#r!_hn z-zwa7<VE}H0Oy&r%q=D=3tTyse!D7a)1C~8_1$}9`?nr{ZgcW(*~N+BDJhjAT=5-M zs+NzZx*nM-Ib-MRwgL{5{nJ9Y-X_?j&bfPo=la&l%ISNOETWdxuk7&gGisft@3*^L zk^OT01lO1RW#59fwX}WfsM>4#-gV9~;iMdfz^ElA5+ym0Z|u=r*C|-XBX4my=Xu$7 zo&_rN7uOZ}@C58+xyoqMG%Z%3SVrX{r(sZAz_zNaTYSo%T~j-Q3wRP$x=wEvntaKt z&1JX5bk6JdKM5542=#4FZ!cUO*VBET;pO)d&Of1S^(B*bB%Zy^-@<X9F)1^(V&ft| zuNP*^%HEv&I&Y?a)usjZ6COHQI^K2RtGwyCVwpP&hw(F3t`+Czhs59Ec0SK4{&@cM zRj>25<WCP#$Q5u}yt92~RfyQ6>?P0d+Uw+swi`^6%t>5+anHju*X;`qy!O}LJO9`- z2Z6{BVv>#qmG0^Fe-`X4J-dug!sNq;4{nPl7j<RIyPrO4F7fKht%nH*?kIBIpT)b* zyQAi=Ueoc}>`euRI)8#hY!-3Z{Z2g{z&!J)gZ_{9XMaPMRV=Z)uUM$w*41`(g46o^ z$3M<+KCbu_>tmr<;wFCorb1`Olh6s4&g_9|^Y1<SAo$$3Br~GpWm5fwDLNZZzWiy* z(9<lxi|@1&=Y~T}l1`sq%~e(x_Rn*&T-dSG+ex64&0Qw&fPs7V%@&RTX>Rd__YyxH z6n{0d+HcP7-{H->7B8Pa?{JXmoU+Hk(?1^Wmw*44`!HXmgtShc|Kvi$&tVVw4?nmQ z?J5;en19hR_F}k0;n}HMABZ#k2|MS@%oua9>&fg}=RZ|OfB*4Q@y^VzuBDA8F8{)B zi6@HqF621#+czgrLN-}!^VW-Nx2^lSc*1Pw%Et3O;-ZGOZxgHxdaaI4+P5j~JnOrq z<qzx`e{1h*YW`@l{i6wEn+%hQ<z&Wvn%frzGCt(0UnimWj6LXh{f--nOq0c?T#uQU zRDFKwJ+*GuCx<V#cs={SZckkA2IW1|c5eA_X}8eM#^q-}a8Ip#cH@kV_=@07Cui)O zYW!xG!$JFb4vF)YR!`s+P_ZyhO!CzEt#bOg9FNxi71Li&xw@{=%~EcMnxR;I_WlpH zHUApe#dVUlr+mF$&;P@)?AJu&W7i9|?wbFpN%E(X%%w^CVZ|&${gOv_?{njx<gsYu znmg;(t1gYuGt08*RdEx3^HxVN?ZAA$iJ=1f4=jG^XWk$*ZQo3{r@@*t4D`J8R=K7h zK5DFYx{&7q-vwC{@nz`-P1Ou)&0C%dTveEFAbIlW#BO8p9isniA6%>7Fym(7L(3`W z4(oR}zSFrX>?*y~Xx*L~(;rK<WS5HZF7o<luvTXuXSC_|vhIy-(H}0z@Tl%{zAVpk zl%vD-;9UMYt9D*3%06%Zlu@vFYT)vqFIpOGE@|DTjsLWB%{5zQyU@X?*>UYo)#UcA znylN8q;9;Z^psO^<Dv_l|6;tkczxdqvHE|JeR0~>wsvCY#6}AS%Uc(>FMHq8beS<h zvDD}lhxftDIlM;3^Zsi@$LNPW(CO#eb~9>`R89NT#SGzVcK&coTm0a&DPy?EHKz~L z{o)u48H=YMh+~v=*xkF<czZy5kgU(iTde;V8+1MXzdFZHa;<Qo{7xsSS*d4&_SJq_ z)pE;VW|7H!l|@ytF7Zp6^-kO0-}h5L<$6LWs9CyQC!X;K4<lrJ^sHoT@#AeD|JJq2 zS#_Eu2eNR~{d~5pv}pQ~%y-E`TWe30TA6T0&sprk>v8kr{`Ykgl`?M?&)j!~Uu{E# zj#&5KY5R9X|LB&Fuiaj|^{3($?x)o&=dU{Yt!(15d0_{Y+VTbTw;g@-{pt76YbL4l zLRXgxu$=yWeSTf^-|F9=pRtS9^OsAd+RQ!TFr#cG%fz^!MIv*Ac{W~`{bkiK`OgIN zzai_H_SQ5sPfECX?T4v%xs`!}@Q&PDmTXh__q4A{PT0+KXI5pJ5aVPHp2-Ra9($>u z{&nO*+Vs$?PdnZpwc_0yqT0Rn+g_EayDO9D+`qo?z5eD!3EP@3`ZizazTCI+tYLP2 z`Rr}?o@g99>svWvzvmm)z$>|@_%^p}{WR%=W@5OxZ`}L-)YRC|Z#WZ~w!cbsUF27) z&TC%Xf5rH(tzB$x{j9~Sll@hf9nLD-wn~6E&a!V0M@a5kCVdm7<G({CbdAH)%%{e# zEuErg|J&+G;BMi7$-6(@Fte%DXjJI!+I3hvwO-eH>sPz%z~I&HY*V)jCuvAX96kLj zfnWPaSxff8H>wWCBCiy0-)_@;*uPWXWhY<h=~tUi7ksw;x0&Z8pG9TLo@X<jI&_L< z9pAw2q!)YevP|TyRK3&TTvM)?u2(<B@qD=>*Zm9K4(E=v&%5gOn>|kC-6NOFo@^Ei zyw_gK;uN1;zqBfar>{~)^l9s-3tJRI1@dYo@(j~g{kdl2@~DEj(qikLIl(Lb=_v$n z{4euF;8j7A8c+3E`5of1oX6L0{&qIr?(gfjbF}>8R!`oc>s7gK&GYS-4fef~S)cjl zXyT=pU%QV<w<R!Dr9}SObh5}j$o|gFlifZ?v!}Vf)OqRfR_<bbe`SuV)|I%d2diz~ zYTG^hC3ZJS=}Llv)x3^A^(BuT4_WnbG^e-Sj69whrO<EMAGtZv;9^cgN{Vg2%}Y~j z&xms$WV6hSu4bF9FpcTC@+j%tnsZX6bz;hG{SVH)x$)tpVtd<vQ!(L+$u~?^&-fRi zZkT4WxshKcS%Pn_>Fh|)`tpB995xIkU+13wmSkNtdD)%C8~he9lr<lZG5E7h%v<HJ z#glJIW;_yaf7)(u$clY^)>x{l^VQS}trZF(0v%r?g3_*S{~+A@Zxf^Lsnj#&3wCgA zmcHZ=+4Se&C8HldogCODpM2f;ra@)GhQ;|oVaJ4QJI|gJ$@rO=K36vB5yO#swRAbF zXyrRWN*6BNE?JfKf5Xp}TQ}d!Tr{<Wl`D7!_v`9^-!3h?X@37hx&G=OH{_}~XNgA6 zo|}Fzg!Q<;>FR9{-y~byo_D7>Zs*$mB-5vnEe#)T^8byT&vQy<RmQ5{XWmXum|qci z;W3lv)+p&@D`5lgGUscJO7GS6`b+H8=Cao>H~-C)Eh_2d=FZQ!a?bTPPbB4BKEyx# ze=~N5hSUEY>SDek&0q5TQbSJ&ZFIMgDHljin7ftvv`J>yJ${kR2{9XduUEEpW~y-= z+uQQ)mOlT@y|u?oLhsC8rcpF+uGB;J*z)5K=B!}y*z@N|Pm`ucy4mN?1y?Gy%gb+h zPnluGQa`uKj_W={s$i1I>c$zVGc8139!!W2?hC!q^<1U$$Fr@@x<}reIg(ac{OZhZ zr|IHaS0@?>|4oQ+Z`)KUV>4NT-7e)=;fK<s>$OLn-e+*<Z}n67dVA9n@fB+Yax7mg zOT6+}u8XHRdPz;k(zhR3`t(03#@@ZEakjl}`U@ux@$>)dbB*sXZSPBRxHom~`9r1( zg{!#kUHct4XG*KeTGeN45fc=DIp2IY?{mV5O)Hp>uJ5hTIkD)0VvgZz#ekPDHvial z#d$%()F~$}-xAg2i`XJ|o72Eq`ExttQ|S+9B^Yb_G8ley#YjhptJ_E2D|abMY2H&- zvcjU4@BVz|Tj{ga7R%IgUs(0Yd-~QFAAAIY7TbN7IN`A*_M<RUmX0Im>k0o(3TDk! z_S;bMVxCdQLE}3~b<PD3Ch)Gdx$|+E)Kr$~=WYsLwOOdo8Lho6B1uizsjGIQv=4jb zyPV=xx6<CR<=Pf)NjqWIF!TCG(f&&du6QfUmcA0MjN*P6yLY>E`2B`&CG}Td|6RAj z?7-}=2_-XsoJ_6xx!u4csetSFGokmbiI+c~UbJ}M*;B8c=-hi#)c4zQ*Z;fg->>`k z@8#pK?YifUPyV#8{eNt^eX>M={AcrhP91X!t@bQjc_#mk_{{$Q&(8gS`|GcL-OgKa z^?wdj-><Fs{Z5yk{Z_Q?zp3}x9){mur>9vTxVAQ?($>A&Soz?$XZAn$u|!U^iaq5! z|5Oj}*5wj^J-ZU@_BQr<+GU=0kh!?gZT)lAI+M4jzIbL|pK3WhP+#SQm!rzX%lmr# z{(3ew2rOC_+<Jb7^6{BxW?DUbV9MbVYRjN;PemrbU}65N2TG=;5_%KsZ#@jOQ;Rg* zTER5=jNP}2f7hiR9=&4ivv5~W{F+zscK2_Kd=)!?>`KP79~vJY6)$M!NDuL9UCfs( zXvq^X&q5&bu)ra))8D4t<`gTRUQ^5{U4J!tP3z6VuYL=c6ldQm(NW4-;S%C05O>W; zDV|}{#Ng{w6J*XbZq@(Nv@cd^y5ocxwhLFhST#G(YOLG-b;EY%9a|ZytAyUAOS|_k zecBToDC>J)uk}EC;xoahDf9m-Z19_qAG`Ot;B!v{iI2TEGbhXw-`O<Ha4J(s#pj)_ z<u1kbYgX*3&pEe+J*NBjs?N#I2M_u<aSGXZ)~|fe^L1jn#FqV2znwCWnVD$ut9agt zA6+(rb;T`jb!zu1cyzNQ<mP`5`TU?FJZie-v19d2X`Vk~-*N8tOl44PcVTL_(R0#Z z=g=rp%`UmMW-Ys+$fbjq?M$w0PBM7&eu@666OWT7$JS@%cQ^`kRy_9G^YOsTSc8U7 z4pOGyE=&no^T_W*)r?$+m!66X7MGvivHy{DZOwV%m3J7bR0ZC62Fz1E7JRF%&%15z z=ZHCPde&WEw;}IQL85HP!=-({{_oy?bn^ODufzq;DY8$rd0aL><<e`GDRtUYGYYny zKcVwGXWFhD)AY5QL+e%lofFLbd*u6hGi7CcpXy^P7q+mM*STKueb#VKCVc6dCU!5S z^GnkHEJ|<fG+oK=!LT=r`8xOElAnU2+Q$;Ai+WvCJ&$ZFIK05WI7~b9`hjJR!kiO! zzE_nj%$8iL{#56$im3LX;^i?~91*Yb4Bp;I*S*GJ7qUol{;6AQcGZd0uPHcx`F+gJ z{+mJZP7E{3j_nYB%xLpG^l(N>K*I-PR;e|Gh0BC39e!&qQM?`K-+Iv~UH$m{&+>)+ z3+e;9*wrp2f1gq1s1h~j&`B<y$9pUGGGsl;E{OROeJFR@?et$N0WXhloKh0KtBfmf z$(_yX{e|~Qf3yAJ99Ozj<A<V&yMKM>LHE`mr>jr85_+p=I6PWocEw(^g2Af%;Oi@A zd_Rgy)fI$?-_h~e$T7{MJEt(SE!|*&=6eT^vpdbL^b*_hzRr*Q>hsh%Ge`3L9N8Zm zP8Td&JN3DGZT53T?c3{>d7am`&6HRs67qi4)8-UisUu;bwbNP{JaxV}^hxoyq^R~Q zpH{dT5b;INT&AGw`r@XlGUeq4Sp`Brns;jNy}j?3{_`#SZiCvL?WfBax1TO!S~5w{ z!h}oTCp9m<B*RF-$jHdZWV+*DR#6sX6Jv|%7X=vA>$g;;hDhh^(tWqiRr=1|g*$dv zFN`SQnD9XFXxDD>cBQ2ig<al-<>k70t={`BjX$5A^ZC18n8&xpziXfPhJ|HlTrr6} zqU(_6AUQ#~rL}o#tiXaLYZ!|RN}oJPxyTT;^VaNL3>J|$Z|)4t$WV!GSSBDnVeu4A z77dOE%@NEYXBF$$?^`eS<_(jE2}9%p<qJ<kGZ_s&vuH3YE{mGy=fKCX_hq~TFH75o z88e&WuR6GEOS>x^Ufr#7;^gI{$4*IoQfCwAK0V)~evfJdBiFKLWeVjR=JFo6a*=7l zfmLU?0vLDh=gMXHqTt>jdEwTTdqNDGSTY#DGTfSVNop2DByVW0X}vGowd)6Ft@HC! znEwBv(#n-fbx-q55DLFIiH)Ij2IHn%v!d$%Wi!gg|BN<d4%rg-wf@r{segsx)2<0! zzZ5?CS;FsPHU{<syf2ux{ha)5|Bj3MjF|t-zO{PwQtlHE*RwEGWpf<yoX~sr?%lap zPl@s*vhIx7Xm#`dQUBAo*mw%qch=uvjGG_Z@a@2UuE(!3K1TU<n0}CF{8z%dASIcv zgoXLP#mR<$3ri|=pRT^VTIQ2}()!khKb@KX`HLAOIR5mTTwC^Ff6de@SEexVEi>yA zSg?5I;-9M(kBB_tVw>?twYru;_r*U)0geeJrG-ByJO7-oHNAf6PxVsH3$IV!yIuWz zR{gI3<;Q(wV-LpFuRdEZcU$HO$2Lai1OGcXSR!uSVE(^>{r}6Ey^rIKQVT^ZB{O|> z#g<+_Sez2bwm0nfMA1i#KTrSiw<*+Ab!4!&)^BibkUsFwYRRQOu}KQ-?cvuFrr1B7 zys2`nFw2uiC%^4yzkN>d$-5VS>os(8Wps7^U%7Xv{%WW1?Tg25ifZV8wSTr=X-fU+ zH4Op`)(kIr7^-GOZ~p7~<NBUIg2jIX_lq66ew*_N%LbFJ?98>fI*sf7HSQ$@n=3Fp zdc^hR>%ZNP>;<{l<qsS>CiBVa)%w>>pTbKj-Ms}I9>_lmSNk9G_q@P`|65n_tgp^u zEZXv&C4xaW@J2|z!-VS-7ySRfIrINi?_*c*#T~uE=<$Dl*01|*%lOQ`&HJDED_(8a z0sEYnp$>X{%f9_zx|#9xjS2?cD>(|!uKm||Yyaevz~$>d#ml#BV&Avzss6-o^QUgA zpL_TE@8i$Te`QU0_~7wR{kyLtX5F@AI+(y<{ykpnLAK(*?vL4D|9@cJQ17X|dbRcm z!@uu0{ygn>>!M6b;^Pd~2TvZd&e*kMM%AJX`_D0{^Yb5={kU|4Zi8GsFLy)lRY8`@ zQbvoNPBo0v6vU_4^FDpbxS{jE;NR9C7K}MX|K08|n6=g`{tKUbZ2trX`-Zb`;$M6f z$$7RuJK>i;C%d(E!`Vmq6PORM{Skjs|Kw3s>#}F-Ce)vfd%5}V)uwvyxL%#yI}P>S zpJrYCcYnfT)Aq;rA7t}g*l)?XN9p)}%i=wgN`6Y$*joO`|6uy}e$almwjIs?mOW$M zu=AgE(Y{x<|JdS<tN&Sl*7nw4aN2&)|IMqj>hrek51aP)e(t~d+W+;}a27J&WGv0q ziM#)<{$JXn9InER9}QCK@0$08|9pMlK3?*#JJYQCc+vXL(<U%fR%|XPE@FzX_!+;v z`SsUNj~+aD^7$`+{O9^R`|98RdTsMh`2Wsd3=Rv}FUX`Oilyj@B+b4h@aw4mu7o{2 z^V}M~?r3sw@mG|~Q%v47^+|8YJ2lmSEe0Q|9n^9aJv{6EE}YP}ys$B%UybGdzsjwe zD~sNoa*EA4eZ~J~KZ|oz&5zA|tIGnGeX4PYv{pUbc<6b}U!iv=&pi8)R@CtA!@?yF z*~e>5p1<J!=F0W-_oio0Z7RMm%ItHq5wDi%uhe;DHtFX_vy2m`ZR2vLWKRFV^mvMA zoyNfpOih>j`R?rHO0LhAx%j4?v*t{C%-;?@wL-Pe-yH9_25YW8|Dl5AugeDMIW=-e z+=?X*#+1L9Z}_D0@FcI+8xM*;+&M8{^=tEQ$;XzQ?2}}s_G|3d*?6|@QqHR8Lgkh< z?;px(uHgIDCVGKKNF{9BthJ1iCwioBH%)xHyYkwE7n>j7_)s|GYKEcT%=(n;s@lcr zD}IO0IeY1->B|W*!A_q#h0Q*1V3XVOqT#efP`B1({S8kg<o4`8v|z8qYhzX4O2##l zji*oKaJeP6=+#UsV~_l7`%B*x_W$+ME<AF>RYE_?#idVN#ee#&*#~u&@1N7~;%bWb z-Sze}j|-LRW|)56*~GtAp;GUpZf=fo{ff0c*9=Y-b#X)nT)ftor4?*0{OtS1?d@_M z%}2@~9sIx>n%tz>y{a=k`S9K|Po#f-)RjmqWj<$lFKgn=MJmDSlP;f$%zQfI>3OL| zGb}&HeqpN8|1Gm_?dB#q<FBc4zkVGw+4{pg-OxC;a8buf@wVlfCGEXDN}Qf)MuF~s zvZCvsKR?4azwO~7FRNrZamSuXuWKH-{=U3SF($TBik;!qQKiEF=eIu;-aflO&PnFp z<FKncwoR39eRb<q+?TaVllZnVp37OX;~~4*+`m)jyz15NJYm1GaDhLE>aY4Nb9PT{ zZI(p)`B`^Yy?rfvY~{=A={3j2V_Ac1QjfRQE?o0&omKr4zO{|>?oOy+7OxPL__+GS zi<C}Ht+{c9w^+|lU2^k}@1^IheE+Qyj;%VP>$tQnqwi1U74->;3txQnUv%@xkBPUd zQg<)5nAIQF8oYe+u4J*^Df(RV7NvHWTnIV3l<%nL;r-{1N^Owth^o22b@PkfhXK6t z&(Ec@{7SIB)cWh>8I$_S9akdOrGK&0>Ak;DJ-D*=!PP@%uib49Rm_S$Woz&|xBu$a zg}G<5XZpl)h&^CGe}IQ^^X2sq+V<SHbP${!^4s@Xh}H&~nzQX|9iN%=#NTkPGBAyp zQ~EM{xyZH4@AecvoofHurI7nyk;x{vhS1Fl6Q4!5_EfF4+Iw72?8?E~DfQkHF4sD@ zJh3$1&t?DTUfufcrl7sa_8y8p6V8d}?l-?P<%ibU`>bWDCKCnv>LOm}OkA+FCHVRA z)9%muUtW9QXzAZlwEXDnx8m2PeV@QFFX4e^mz}kpmDgNT;j@2|xyAZkUEXFMyuK>q z{yrh?mp3bB8|x+o{O;vmsjG7I`YGX^^+zv<&z`^YMXd4Mb>)p#yc~Pm-_LrtYE^2n z@?qzRJPZElro_hf@voezkovh?g>B|ZkKJE8zlCKUSmN^N+Q*#}4n1D=B)xm`qrl6Y zHD5cgco<Eb*k^Nh^PeBL6XwspvWMZ}UrCu0#fBfw?y=bz5Mn14^H-E7RQc`6H!6!7 zPp}$?)vxKA;(E;Y^kZgAq58U0Q(4dLJf0%5Vd|PImYV{PzRjG<>*=&{(#5mFTc4hF z>|Bt*zs%2r<IcX*%T@N4nE1zVwkPlY{^8u4VDab00djZ5Iz2qDUEjvGqWS}SceKuX zmb!24`|9j2+~yICnXBj^w_RaNEL%@Y(!#yg?`!%G*2UefZ%%AnqI^tqa?Sz!xUvMb zWoCP~d2AN`;c<GOeAA`Vui0L{dGJ%mz5PM6oABAnNT%5mOx7~$-okqpRhoWmRBF^X z@j*b*;^6~{?JW(h5C0uZUNTMa)RRJSCFhK_(>K-Mb(p>Es*>0DX{q<NUE0{YQn>w5 zS#3q1wf&mV$BOIXY%kQ$+~>4zgK6^@Pd&Z#g#PGj8QZ3<T)*&vSLV^D&Ta?!pSV7M z?rHz<)Wtmt&gT!U>`#u@*i<Fw*)aW6UfzEBqvg9L_x+Z!RK2J^KWn0o4D;g4PFJe< z!<f#x$Qe3lD{e~p@^48;^BQZmXwm1qnzw^az1F?+@x0<u8Mbm2<~3jB#p;dTvNJCd z&9CD3xEgzp|8mvtYcqoT-)fsJn`xZhr>b(l(*FLdP45@XYbrm^t$fMk&;Dmcp@&az zoqDc!eV$_3<z?QRKR4dovdU_9zJQXDSi1G9E(PY9wG8L9C$H-|)os3VSJb5G{#TpB zzVGJto4(~=rK$L$(2{A!NujCpw9m$`sK3xMBYmruS9gKFX_m+j&8(T1Z@s;{$aBJ^ z<nGh!FR1Iu>bUoP{<S;qN@Dl^sdr=f-zSS5l#tuBZ*O*%uC}1R^<DYt;;aU@Pw~z& zfBx`pozfdgU8`5y{b$8;d91dI_3A$V{ocIbIkPSP_O;3>UQTFeZPVVRV|Z_&fVzOn zHnx&_gT9m8$-fed&r38ucpX}tBD$#ms!>$1MzgO|@!?CsoAPvb{(R{=>p;ZsFxw@8 z4F%C(yq524pY8KdTE=ksn~C$6n(sZbrtzb6YQYDVt6lNjsZzrIyIf!G`4(AnAggtM zNcH5R>z^l1n0NbcbNS!%Svwr^_T72U**5>(^G~_&vX$#k6pAM<Ww}!*eXV=fVv&uK zcSBxF<%*QgnwZvZpmbr~i(l(jxPErm7u@lC<Dd72ddAF)BA&|L-P`@gB6R)vv!5ay z_*qWB>upRocr(S%c3qLO?7l;mH_L8yZ+Ip8X{q$;cP)%6`aEAGE|wR6DVDxe=Dh6A z^y-M#{CJzc-`X?Rzpt;+jONtsPK|Az{@H2TCxLYPo}H8KZHlp6EV^lFz@j$m_a^81 zy?NX_ZLdUXtetZ7{+#m<<E|~7l$+HX7_+A!cG3mOS4sc3E&gJ2=aeDu)>mgWeezwD z+$Lp39J^$;NQnRET#eMHSN1YJ+;LrR7t88f1zUb<<(51CIeMj)_29wc^7?oCU-cMy zT@YtGYtEIVJMsSPjN?m#W?I~n{d~dmeuzeN-s5XtN3}~6?kBXYc{t-zfb-r9Mf2ys z^ImZGO68rfYPPNY=StddG5k4Exai~dj^jHnyxL>p(qr^w^M;garwbg~Z)Bc1eoK`( z^t5+z(}ynKdA8GhgJpkB++k98<;SmO)9Rx)>|c3jT94kVS#}z{9O_|fxio&L+h0g} z!g>1rD|fDA$GF?i%x<i1HZEY`HnrzoA~!$x<IMN(7btDox+W*`g8j6~O*?;=n3*40 z<;E5MT7A)_<mHnesm(pFyxe)^=I_pIZ)dGo)!#hfR*m~<!`%`UH|t7dH@aTWf5<5K zw#sSA#rnHxd`_D-K06u_sxAHMwD`|=#wP1FT<W<lXETE{hx=T5Y;V$EUWX&Uxl$%Q z<<37;cyGV#u2>tlLmp3#pMT!z)}h(dyS5{8ncC}Tu9H?BSy{JBZsv<WtNwWE)m!;U z#Kl?^AN5O^&MI!JwQN?13_s)MqA%Z7-#%kL+q&s|ev9hT`t27Asxy<9g%`UgTE_1e z?z>+xU(xI`+oq)Li;iZl?fZEBeoN=eZ)$V@UwXXa-E++e9uI$&ex7kX`cnB@kEY=H zI#1ef`rYk4<71)zS2@w`_@|3`bJzP6Z&6FU{pz~ujQN_vdrd3OO*-TEc<q+tn80PL z+9$8QQTaNj{LZN_b7Q-g)XRDQZhP~^w<b<B*}kd%-8m*^(F?_e%XWyKHaNz!`?tB) zx4E(#SXVcy6>dGT@UhLWHkAaPcz=cujcv~vesg@yV_Ipr%S`$Hqgyd<^3S3&>*F~} z?SDpPy?%LY{R@M7-Rg^voA}-vR?E+wpZ)cQbKbhm|B8zk^D{Dn9&z6iTIXN?cTt?f zdYhVk@)r`kloWM-3ts!N?&j4eYl4*A`BT#h=0}CS-2J-UHTlxYd2Ne#JleEZBA@R@ zU{%BBMNho^41>>_iacWt58&Qe_H$|dv9((?TV|X+)it%x!9;8Gi;Zvn4qT~?J5d&V zEx?M^dz0=d;mpaWS1GIX+|oFDkJ<HaXHdOR@Y=Y;FPAj;K2_E&S$^JeCht-Gs_Az+ zUsT^JV^I=+QW`0)>AUyW@6bP&3iEGHK5#7fjwIKKlfPXiuIM)@H~e<naaz95)fFLX zo9890k8e=X3%2fk@nuhTw9<shQK4~_wr_rh*6xUX-^8Y(WyI$2`Tf1>olk$I?l$^o zRaepQz20p{{(jEcX===qmfjA3;$@chTyNu~={YlaV!6z$=1k1Zth1Z2;;>__j{w7@ zwdTAAA)ZNn(G^X{=9|y?y>HXYIo1dDHh!sg_@oq&@K@u;_Qy-TPDC44FDm}4nR@@@ zY{835u6(yLDco0Q_cEI=wEM)WkJG<29_442Gu@VB*Yo*!eQM{OSo>VX3Cl(2H_p$# zC1b6o{bj?cZ@dZL{G|jZ+<X5^vvAJJ4IDSLj=gm-4M|&=8e&jc+o7}O(%lO=XO7<3 zso1oYtvWt^sjSKshpH?F2jx}UKL7U7i8yEQYw`IrE0@HqyM1rLrk~%=Em635#OAkk zBiEx3Ir(hw)=W9{yhKv4KJ>O^PnG&%{*4QrzdHY!m*i%Cps+M?CD*H-$g3<;xAJ(m zfA1+!xxDx6kJhfM;*Re>9WUK6>%88L)N4za7o1Xf_jaYvY=NsC6R&DJ8}7DSy(Nyx zY-Zaum5h~6QzFZw0`+cRJL)1(c+KI#tIda+|9QFiG5@h@)Hk(xtfc;KLSc6OyStN? z?6)}THTC}-f7|c#R@t5Ek9d1nTaEq4pUqZmqTdP@--}nB8*yA^q3rL{*RhOVXCupa z1w}VFpKw~}_jt|RX|MBTUi&Fa+bxg&sUfkXZBe@LgV#T!#KS6f9_XAX%x24AR&M`l zN~O>w{<o&NZ#?ceEI;z9(ERtAhRj#oQ+CzAcV4iVy>ZVcIk7~QGReBa7aRdM+M5m? zj##rNaznG2^qdX<7(<T*c5+BImBi<szho3W$)SQrXX4tlLxp{RpPjiMGy7N}d*)k> z`v>20oqP~C)wSNjPR4>)p2O{GLCk{Cu&WnWrRLWfc3gf}zQ-z2<U_K*=uugj5TA_B zmG`y%>d#EF*?0KG)>Y=a&py=T;c|}UzvtGtuH#qK++aDT!xnA6e&5>4Uh5S~ot^)9 zTW80sn7KCZIV1N}p3VBHJFn8RbJf4S_R4wFuipH7=Z1@2j~v%p!z_m`l`qd4C+N#K z+y9?*<m<(yjE}Evz57H-xth!A#?enZJ$%Y_`={NQtNv+f{ZgUk|F!b=*M1*zJ=1YP z`H}FU(|Qx+I2+HG9&dZupxK@3Y0!8ogY)}0>sQKUSF8i(1&N!^-k#dha#kkL<IU|~ zC$~zS44r(!$&HDhpZ#6k3nP_#0ep+z-S1ec{@!O%$`i*m0r$_D^`4#dp>O|mkyS<F zQ;tY^-J0*bQu|1SxV==pm#nbipLJdl|EA8^-P8D}S1aS;^c}&`SIuG-#cv;tc>0$m z<H72mv%C&3X>?_Jw5zgwZPDQd$KQ5FbGXIpuK)gGz-%UIckze@OQ%(4#epJe?j5%n zm)4zHQ{htDWW6_DN}x_=+1h!6k6)Ms84B~CSr%|nuAF0o)((4by~{HWKdql8BK-1Y z^1_vN7c1RmjbD8{`g4`iUC!BoVG4|&EExVa-!Xob<Kq86H|gA(4DlIV{#;ytf;+hx zp0sH$y8G2<%l7-z<`yd1&2x*hvXZyC;FcGC;=-5u`3mzgw)|Kd7_DGcrus^H$Mv_z z89KHF#<ra25>1@jaF=VZ`Y(^5zrv<3>$w@)CbKL%bMcAz!Vf$~_cQGRlPyvwZtU2) zBd=v|`?jcSJSvawNM<Tp-g#{x9dP?`j(*MLS0d>xwycM%cC9Nv_wYsEwz(x2E8d=P zdG|nOt=TWOZV5Zp)mf{OBEDbyb4E^1?dgv{zAd-rh3r(bxuxc)9(H%<o2AZ+Y!-G2 zzMA^8z94p9-^<zazRLZ6KhHq*cj%$s**d4J^smo*$IWv((w>Lin!)PDyE7H%gM0WQ zG}DCMaY?Lvs8{1>y;pL^nUu1rd(t+ZSi1LF{;s>GlRiZKIR9z!_q8wbCl@m@Cv4>x zQ2%-A{)IUbvH?{wlQz8SIv2f6r^VT`v*@1;zm-1Q{S!9z8kv=q27FcdH<zm@ai5+n z{$|eQip-$p0&#J=F}rKty$|YMtZ-%m=l^eu(pNYel~&!@dw<d$H!hz{)2K_g*G*Y( z?^-FgX@g#~p1j5Hxt&}}t?XYVMXM(5TW_+de3^eDPmg=CjK{GP>rPG0e#ZMGa+1?B z$*i{j^VE+VvRnAVaY6mTWCh0@GmXb{g9>_k&RyN^@QcTN?ma6ltJ(|s0!vmoW)(k7 zw7w_ceI>y8jLH8k71{YW`q?*W*(Mxo7Tjh!=k~A6UrRrIW=y@4llS&a_$%MDM<ibu zwf&i0zFRcpv$(<hyV^}{`|p?kQap1)T%+e(JIA*rU*^}vTspM(*_FSlk@au6jb+_= z+<(m3x_x%->WJ&=wfoCC`)~1{khy$dSHiY}h$F2%uN`~;o!a)xa{eua`4NB2+$Rct zJTmQA=H!y|0Y7pUpWv=7*}Q8{>%(N74-NS#-Dk2Ku326!7M;4Ci~GyNxJ|3JeK$FH z=bicQWyyP1s;f2mZu5}g=)RG<Z_3$pzWTYH<~QdYlyQyFIOg?AHhi-A--dqA3x{@b z^adN5y;*DcW!;5@Je611Id&{sy!Cv4G;0y(GFQ1()>pQgePmp~+j7w)TJ*wt1&iR_ zN3^nCWXxxI23JcxXDgIjRaQIYb%4-;jgs8o${V{>q@rH0-?=Jej-;mHpJ(3e`?j3t zI4k_Pes6Q+2R6n9;-`~8y+6nGA>_$1ZMXLLJ?w8L+gT}c6c|pZoRS^9^TP47_6Y(< zpZ%8k{(Su=nG+jgc220><@<2bt5dbimcJN(#5vXTwBFq?^X%K@uO@ue)%|%fcE>a3 zjakxfGHR#XmlB(@Dw>^vKVCaWa>ftIyxwCjF0D?plQ&GN&!2Z<{R}?M+dnrHFqbW0 zE)!RgNz+JjY1dT|xi0hlfzq=%rHsnaTm8+o<eO%^eP6xco#eO1L+p3E#4HsacHD}~ z{adZ{?SRPd%B71PR-|oOX8Jejh{vITdy12eZj}kI*4-Z}B)m{Ds4r&0)hWs)7tN;} zmC*BL-Ilq$_T`ZV%aD4J$`?lp*WW*zb1m&NZ{CAng^}my#F;bC*|xsjW4gX(E9VZ0 z!^zvHTVIS`yIAh6XH}=vY~Lx8Y3X^Fh1QgWPdR6hyw8e}?d@d_uciaH0zR%gwO8X? z-@AKRmU7!g)_AR6TIio`5F9k$t6O-Mc*%+lMVqU=G~=fwJZ(+5ylLz8fcmIsvK_yi zu82ihD89J&x~cKy7bnv@O?mOlHuLTm$zz-=rF+>T_k;uU+zThWbtZLwouw?jnb$_> zAjjKP()!E%J6EN@nsiI~k3)nx|8bL>PGSaCOnfPu?l2uc5>;9CEK_}v&dNu%Q8fu% zF{|g;PpAx#U<&L$E4|5MvpHY6W6Y-d4-$fEM-qO|SuHZN`FNf3fj4(Jtahh7@KZG? z+v=SAr)$Ug*Xti~nu%C1%eE10EOlS3B`Uk*?!A9=7P`hdTJ$}tUwmp|+JRF`UfqjH z^Z9W*VNv$e+dfV0;!K+L+ZXk2G~Fr2o%UAB+E;!*+lM`DDwCHR%6yc*Y3sd@d-oE} zTF3gYWiwYlYSh2u(s%OAJsp+aMBc=0pLc~!JXF1B<BB(Fg_q~e<>lMG?~mAvxVrqD zddB?Iyi8Vh96CZ3vzD)UFk^#?%$&K059&(u#J>0B@j7G^_n}mM>O772VXLk!yLVgc z#+vDucZ7#W_+2~kJGsVtl4nf*td1kr5i=XLxa|FOuh$1?92Qg*WUBpoYqqj*JBR%C z^M^_*OzJA)k3F$Cv^~i2BKK?c7p3Ws{Fm%f+3Gp5?|Gc9A*)Q6sA`*kbjOcFUzWH> zDm1-GdHg)Gr1W#*Oy<vz4_`X^cGm&k37_Sju6xgX^eLn7=ZC6tj~BTowI1K`Tbg;P zK-H3muRA`e#O$1ETA!g5`m^%S`S&+XHl_7_<do;@eKw!ju3&EGx8LT8-j60GZ!_2D z|M9n=$824*fM}n~?o2cH!!uL7cFku0`aykGt!`ylqv`i)=T5}Q1s9ek{yVeXHe!3< zR?{WL5mHjIwd<1ab$>m!A$sAVu1i;B!#AY3Oj>eK-0jzKubO(Ued4zDr<dFDy599q zK65nI`o59}Z>7MUtSTjgby?X$TdnwC=8AYcTWj9yGAqC>K%+!Cq9J!@CQE*E$)$}f zcI|zKj%u|o^sv0P?)G0h?|C1r)~$JIeqZt3E7Sk;a_sz+=WXF?6_7aJ@>;qzv2NxS zV};IJ-=;ZlZoMPamKkyRvAgzbhx#p+p)>ipKRn)g{@C=ay3<FF206B{J~xT0+o-X) z<h;qe-1{%BqyJCtm+PCpUA!kNW0sKR{zWZ272j0e7v3WHDWa-BV#2Wv&CUA^8YjJR z*Dxq4|0HIhyEIdcC41Vvq=@D3?j5@~qh3L#&GnXp8%N2;@MoVj&t@oF)=sxv&#=F# z-uA`UH@>enhcA8e)9_B9CF|>yoVEAWcPXE}_E-C0ff=`W_0#<6QvTEKYA#n>)ceU# z;rVur#2U^7yU$bV?-%B?&(3;QKIggT+*_S<GUJx;vP@l(&e^qYp^fhT`TtVwZ>{sF zxP76ufAgu2hs`q%$K0r`k61ssTFZ6IgA{``Q@y^{UsaI2=_R?BxA^hOBg;Q0{5c!g z`|90m25#&8qgtx#bbF7QR^6DOt#ES5S3RCwqdPZ#_Ap*~-oRWd^HgG1lCJUnl`}Qp zowTxNJEkOI5YVWcI!U7JVT)j$(&x~iwU_P%y#H78&9iLvo*Oxrc8iI*TQA!`$vp9V z_v{yUQ!W+Ha!ab;uITMCE9#Cw<EP4-=c0D4pUHds@rtYa&Uq+p_|}r8KFMb5lOy*| ze$RgJ>${ub7tcTQM1+pMR$Z3I5_)?<O_uGpm9F>e+{`2%9oU>W*;8q)__<H#y^{Ce zdGo&e_~94gt&_Fuzw$k(5ni}4vi`%iq~nDdAGGS~PvuN{Vd?f^@_`@qZoi#W#J;~d zS$-t1)p)u7<Det^U#SPKwd<NMn|FraG-zg`b1ARVwG2J|?~x)4&wc5dWhdS05N-b_ z;Lie?zrh>yrdx`<So8epjJYfBpMRP4X;=O`uVagZuNL;5U+{}td}@odkaprOf#%66 zXV>yA;d$vef7Ob0+_7C6sn>RzulP}4b=>()#<`P)$A6#Ln^$hZz}VCkwElsdQK=Cx zYwX7`HYu4E51t>$@vUhs@mn@KK%~ZB++Qc^YsV6i-Z>Ag=I2TmCT#OF+7<gf?1+$K zf0<Y3e)HUWXWmuj-RR<-E_yH_oxf93_xg2<=EskOuUD6=U2L;0dN1I+bH%%bvs6qs z*MD?hZWi5tBXs9Vv)rvKI^Gq;+n$-s`mOI?ho6Jr^XOm6`Ip+dmM?n1$~g7bnm=(5 z@9zBlEY0u5P41I(k5!zUKRcuF;?x9|Cz4v)&b8TxxbH1pubXk0drs@#ma}#SUezDj ze^-edf7mS|Sn!<l;>uW6InQoyk!2r5gYsijud<2OFTa23)7E`wtSaidXNzPk{J(5f zn0D8~C1$IcW7zfdVjt@&scY$S*s(huG`Y)MP~X+NbWZ1i{lYeCtkW`GE2k(voBYt_ zyXPC-x|IU!-~8Gpll$jot(%@-_Z*YAPlDF{Ex(a(z3QrAiqkBKjegqiTSW^OxTM|R zws~GKi{X!q8KL!4ULO0D=3<vv@KCQQ_Vqc#*9+87M~Liy`>gh0gV1sP?XtT!o40(= z@0(Ivep}&z(Cd@$4=rv!!TZLIZ;6B1<bZQ;dZJSn?Y$oO%eFRgX5ryR4!2p>Yb@VH zMD6Z7=FPotr3pLF|7pK=i9Hb7&@$uAWTREuq9&(a?_9aCOWXL*Q-}KXR~8<OH?!WA z`p#9vdvBH8myP;e`a9=t@7jLO-uJMlkZ;_Z6uIB?0yvJ;&k{QF&hLur1&NQ3jra=I zscK$}{qDRi;e?)H@WhPyJ8tPeOqt4iMC9(j!rx1I*)yLjrSJZ_S-Vu`YEb;<zyH(^ zuS;5JF8!m|O4?-MJd=mIpWl4=>|THL%Bg?83W86RYm8?KoJtH`l%VBdtGMyFxpSxG ziL9<!0Y@gC^*(X*DWluTM{;pT^{wLl6L;^}SvD>I%J-iKr9(=7E@(fWn8lza#C4*3 zy;N}K?)94a>+f#KaEY1Jq<W;TE&O$M_3iI<d(D?G`DUwj%Ik;T@uFwJdPzT&^m4av zuAjQ`^P{H7_Zwo^Ix4i;-Z<=VdKh5Mop3Z$qv7!HSy|QkR!fwOm&p}~X-)F=KHbu_ zZ>@OE{ojWD|E8WiqWpR0Ub)k<zvhS9Jnp{yOi0gaGN;ahm(QFSC41J_F~>13{@Xh9 z?N#3$yEI;ze&>6$ru^gkH&PRR98D@t?!5Bj@t@*X^^ZMv{oE;0uesps^sl-%r)vBx z>+65cy8WU?`IN@P$7jrT=k}kqe9|xPx{TeNlk2RqI@Ap&>)m}Q9G1lXs=?4j$!^(^ zS=amO3w9UjZE^lm9b7%fFgA-J%xC`wo*!(y86}DT7G8YjbN0CM%>T+R37wPrZq431 z;mXtB$ImTsKb;>{uaOx4<Cd@dY{j4>Id`_Hn=IGmlX){|u8e8sZ>^)#-%BjldLY67 zVnN2NxjV#v9;$h9>h30H=KjbR&Xs8k-iO#L?<<*P_I#mZ;k)-APk-F@R{Zway^Osv z!n=}o?e%rnd6ND%BSN?S-m5cL^R*ru_NCh~{Be-sU-NF+vnj#X_oZK~kKfqyIqLN9 zubsSQQ|BrF5WKhFX>E62P=&@#RnwD4k59F}u02_~yMC6?{kQDyT$lZ0e?=ai5Rh75 zbMN)vJ#Saq?#g-{aiZP*s?1!++%L=L-JkB~^=|F*7n8MQIlkTA9j}&uG>J?6<g1IP zt*z^2y-)PUYE*r>vNkN{omp$X_qmz%caL3JE&6fed<$Xm<e$&lA1M09=jQy|a^psS z<X@ksTTj?s-MP}M(D93nLV>C5vDvTkJqjl~NzF7=Ykc_C!Ay|v>W*GV1-6G(rxp~v zSTCh&IxqO2#m4BmD?Bcfm0tT@J3hf*pW%7f#<Qiru5SK#`hU>et=&)b!mj9i*x7PR z{h?{S%J!q8o6i3zRA_F!GxN0|m%<uNso+ns-)a`r^B9InNH>}t+-jYAKG)#T?)*sW zi<JU*x|B@+)lPRy+@JcQIQzyH#p@4Q?@p^)X?0s~;ro*7xhg9Dy(tzK7EV($7r7Lg z{YN-^st@DCyLx{VL?>3P<vlm!>fH}f{X7pZJS?&KZdZR`!{rYu{mw3peHB_U%9}r% zt;uv>zoz{SPq*PTL-BQy4y)B_R2o0)O?k~7Zm_CFDe~{-v*w420_QVKIS{)!bA8uK zukWv~G3~oo-YxdR<=nN%88)0((gaq0J{JErzI?gxmCT)+ugh+{7qR`k@zu%4_g_4= zCnMf?nXZi08^5FJ59_D>bMY6OoSpKF@%rMfsC(@%jZWQ}VPgE=gU5Z#g5Q?k*VUFT zy=f|w%QaO`FZ%(zN6Ds1*Yax^ccwnrZYDf+>h_2wE4<WC|K@zF7&|G|QTwr<cy|9w zUnj$e>230w$~_TLhq7{+XUs2iYbjh&Td_TJ(VJ<`r`XrskGyn4?a|N9`q*!?_Fpu# zzI()$uXLHhw*$J55Bgghf4rw)Ea<z!@T2Jaw$8_&cEo)WC{DSjS#^BEqZv}OrT0xY z?ms{Gh}FD%_B~AwX?Bb&&z*nme8W@OyK7Z}K=ikQj>F&Ic)qnO^T@vYSMB}s1u@%B z{QJ4kPmllQrCf`5FPBPQwd~egkt=YbKJbWu)9e*@73}6~uRHTH{JPXXft;#&?>O>~ zOe|japz=uA$sJrQ?Wc^|B|EOhy6t|-{*L7u=Y&MQPIh<MlSd!@o^ZHk=gC!l_xDs+ zG3Ih{ZF95E`_O28XX{x{oxL(kzu($DVb|*}`@;O(nxk{<YLxubL%xRiJ<wq;Nxc<+ zjPFJLhIt&1#JOZ=Zfe>!eZ8i?DzntO7~>L`dGkMIYV_Za*~{ww?Y~$~@f+9L53|i) zu`QA?Uwp`ATjRAX`?v0mJ##|#TW{~W|E*%Nx&doDOV^7738z0L`R?6)wWoQu%)+n^ z1yOVM)?J$%TlP)-Gi933yJ)#7*Tag9Un+gDHWbvFQCQEp&4BOS)D!&0b5^{6xpm?t zh4RT~Or(mQpZR}gx^(B>#?LOT6Kc&9r^U0EZptfZEw&96jVN~hq7*M8cV==w^Y<A? zFF3e&|8`z%wM$>Ev*k{FxCPhECJ(8d-G3Hy{@M^MUt|5;_C-O#)cGF;oIjlG3tIh0 z@c!}AIjzPeF%=QJ>(3~w$}@d+*?w;iliaKot+ug~UCy$EEAE}|6m^yV!wUwfL(Nq) z=a!quw@mq#{QZ4<v&+QAsoJM4O*@P~?TcFdfcwpf<wnbk_MI(tyOJ;Q{lz|c_V+y< zHqlmgVy22`m*~GOPl+#+G?hx_T98zC`{h0<w{*3`$?^}nqFsyTe(k82e$W>gQ0E*P z=BwCh|Cv!QFU4LxYW~(QYYxvSJ#6)aRs6^ak?Br<SbH?4e=C}m^G0~)gV|5Mr{$|w zpXk+3T3)nqU)3DLU5__hoNZB@*~9dA`RoZ-j{Q7j`F*n2oEHjV*H78kFWz7x(ReD< zIokE-Zq~NDtZ$jl?CzUqc2M2-YFPb&rr=&f&c9E?n_e$3v0iAfb@?Y>-{^VG-2$nH zrllS_F+G2E>8C?J%RdLO**yDWZ>W5QWyTxb*v<T>-xMDat(9J6|5aR5Z^5>c3eV~f zO_7iKrC@!xbggpWq8{;$+ZZl#ADJm<*50eJr*R+0q0DK=AMDvH$@2BQ->t$+deUpl z&eTUab8c98MD4b9p6@|}&?E2b@-9~hUAcXAYR9B4jqi9q+&OtC_#H=E-^`yP=ca7p z$luhqb7{$(6|??1tLk~KiMy5Uf38(5D&Wr5kn;5s#rH*cnM_yw;F~ai=9z~&4AYMG z9%t88Tw!wF@7v!cY*$zA(7!j?>rnxp`D6XggE=Y>57*mTbBGzQezo;l$-KAhKfc~r zzAVlE!R!gU|6Ds2_>=AI!VT>0e)~BePrW9nUmn^k`+~ERrM;-NTjjM>4b$QmyC%q= zshaX)dR;+2JA-sg6vMMKpKgaO`FL$lnW^)e@Xr~KHo6<WsrdabSxb>=hHAj^71I== z7rqjl#QDc<#ng-b=JjGd?B~20C4ZPmiF?l~WH>MWNn?eruF$k&2W7c!s`PfhzbIdF zdWLM8o%Yq$`*ZKT`su=CEHO=O{#VWU@e;2;C2UdLcjo91)n%+TtHn<zL~j16{X&|B zCHmBY-{&qrn*5?Y)z9fq&@_>CmnU32Cw%Ig+r4edJ_{N9)!*hVROaFNR3F28|9DFG z;#F2`QcMfX&4f<fo^(H;_W0kM&+bJ(=3cQ_UQ9&el4{pu<|qHwZ!dfEAban}$&vMP zTXYJ4Sg$^76u9P{CCftv*ERZk_p>ipy>wMmR9)G7u7eetA1`h2?*DN|=F-V4fs4zH zK1C?(yV~F}?|a_;S-(@njx}ABSlqRwqh9pyF<I*>`TQg9%PXQc<YwRYjZF{tSk3fd zO1jtz-9M@+^Di9zwA;Ppd(e}Ng{OKB9(8)fcyZ}VcEN|v`WNl{*G)=WlT(oPZLRt9 z<8Et2u3nt*IXY!?$?Oj<ixUdgCj0E#n6CZo{)-Fj(MpY1K0NI#W80i3G52b>%f36! z+t-!V)jwTX!t#^vBmaGIEz6fIvun2s6)xzXrQ=Xne^teYec!LfQ<X1oo%pHKojgHG z;@&zr4$mI;yEBFRZ6}_ckbma7RQcO$TMj(>du+k;N}t+5#zYebzty5Iaw;~2p8RB5 zZT9=oef2|j5=HwK&tP}C8&?yuP3@(^7S~x)CAOz`e`r^%-_dk+(R-WA&5h>TpN<EZ zt~%KFWtOaqhJWGS5<k~z(uJJ<_dlH9+kX0gUBlKDOY@(dPPr7nVd}yZJ4;T7Bcj#b z?CHlMZp=CKHg~iBQ!a%Z?-stTE!p=HZ$FYe^(Mvl`I|(8WuD15Bg{TbHa&RwtZ?Bc zZuxg>CG!?ey>Zv)!gGasO^*J>OO89Gge`q`+qq+1NU6@o-#er>y?%GF+b1Wj$Z?0m zvPtz9KS*rb$#?x`tIfIc{>kraHwsPHbNy>K@pJ5gFH6sIIXCgSwx05HNc1vgx-Hai zv?h~r&#A@t-T3ER@ng}OG+ANew)JlfuLs)CY2L`W<J+NzVnNrgx#nNl4$Q2-s3|<< z(4wyDIZGSd_NyAjueG&Oe|crm>m?HnBlp+XN1m&k@IS0!e(>qPADb84nPoU@e%pkQ z_VdRxb9jT7l;w-d746jZIqv$~^gl1hv?qZZ`s0>eigexdaL1}g1>dSC@7rMW=l=Zj zEu4Q(Pk80`^7c&L^AlVg%fs^D1oJhiByF!3R*G?Gj_bAO_IFcf`|`lIK-WTEchl@^ z8(P1faX+dmd1b=c>tR#x1%=*L>kM_eYx3Hm->j#4+YYy8U(IvLoNSA#mn+ZC&kL7h zdQ-2*rQEVP=Fsgkx4WNpK6&C%9KJy@O~U1Qm-nvM$M&!W-}>R<JH377tGwfdh5289 zPCfUcwO;XO=<Qz~;n%PIJQzOj<l2KV^Y2(WBox{InKUi+c+HA`x(Z_NwH`JcKi!{w zqu2PI;`y6$b1yMET<eHa{<)BO%cfP46Q=1OopI^mcD;zwC0AYcF$)E6ZwZ)q`>)WS zyf4Db7i?J>WS@Dsc#_qow>B3ZdS`tS*sC7vb>{fH^mL2ex9dNx*6aMf<>&>u``_Pt zJbl)`ar@Ua(KnOkF0+dYlvb$k=t`fkuzIDH_z9`M?=DWS|0OBqthv#+Oy#@s1^q-t zwaM9wpIq;Kc~D4+=R(R$=kV#l&t0?j?H4jU%lL}ptBldB&^wN+gxB+QK26N`US)e? zRTYE9kt<KP?By+={`;h%eEoxe)jw-g*PY+`DE3uw`jW+=uMWNWvS#_7l3X*@;<t=1 zg&%e=k5j3tUg5h?`n}${jZ43GKTs@g+uAtEs9toT+s0|;v-ekX3M%r{bzb-_-L;u% z&yVc%grd9+=XOqR_<f>7Jn{GG#@IdABGUSTtv?j{+!fKk!}EN1+`sk5WwS*L>toE- zlMH3snU&Vu7Me6|#*f0ucbis!xnwC=tS6^;dP<r`bJ6yFUOJ0Kj(f*)R(|-l;qrxU zP2MAq|NZ~*g^MR{_a@#F!K{oe!BxjkW&JQ*A`~TjDd@vTt*^>)zpmMBemY6;|Krv# zF?$^pmcBi!(LXz4tH7*vYHMRIPW$n0TJ%PbdXe34C-Pfd3J-7bs_I|<ZL|3nhX5&i z;mf6-E6Z+GXVlfM<ge2fT-?Jl^Xx^Iofe9TMGNgWN4PmZ*Q=fP{?ZPu`(@W2`^2@r zec2RZ_Q%Mkd;911{*yNiiZtBhw&o?jUoLWlf93a2+ENWCIWsf8?iE=-dXjeOjks)q z_?h+x0y6bs?Lk}P`Nd@#XXd$hzrHwm`TGS5&l0|TQ<xmmGfjR4o6D}_^WUYZ<uPA1 zxOU0VHcfcz{(T{GpCm;DrA*%MT6Kg!d*SJ<0v+qnRTZy|ymdd9eY~{Mx8KG!ptgPb zotHT&Cns&%8@%D*m6K;VELX|R4Xi(3{V=!k8GmA~j;qR&viiSY8-J`md?9JouL*4& zpRY{MO|i(`>6`FMXxE?eqr7oqZQg~_4}@29%+`~>p1zZN+qNj7bH4KfejH5>`m^#@ z_LR6oiYWqnckE{Ve>~UoV$t`x-QFr&LifKD+`B%ErR@3p*!HZ7%g-L(nm*lai>RSb ze~|u>SGS#3_N|wEwQYu+T|Mtz&PN?JiX|aGm#vx3c;56EPiS3TZ@Peo&!^hAr@t_T zA9QzF)$Lz*UA?DM>}jAS_p_>)eO*VC%70IuEicUa?q0$FD_3KzcXw&^pSvo5ujzJ> zU$29w^pXm3#^a9-Zi_ASy|Ly<;NJ<~4ddfpFz;gG&HMWF$Luu|%`O}((zvpyzH{D} zL;b~R3MPN-jAYOJQ94<c)9>`YS}69EbUkaf%oo?W>h6-a%Ul1=2swB(KxBKl{GUwO zN%6mR&(3jIm~gn?{DZcO%nZhJ+s)gay>^QF7us}uX??j@_`hQjE!i{FUG9~b$0V(h zKK#aL;rI5`)a};}?_qBK;;K^?vwKCpOk(}qGeu1{=ZeIh|Nm&(blC8u*Ym|Pi?)mS zx?jr|l-;;V{~6npaMqnix9t0}(>^9O!ph^b>5J!5Yg*rI(K(xZUn4><;s3v+bLn4= z7pc7IdwSVOZ+_v#+kZ-qcP*QCde^szFZuGaTRyHAmN_IWpOSboXZ7xddXKD63EULn z|LlA5xK{mFyQ%E$5fhZY?W=Bdc{aUD>fX7X2FCZ;kFHahE*h{#mMi8<$SSM-lP?)J z?0H^&Y?br9bLuN~Wn`~KUjH@4-e%RIU+=CL^SQSa@=jiuXY_FTj0I;;{NoXSXP$LA z!*ssTHP6s#>)j5W6uzgRm88Eo%x&AmlP^U3N}se(H*@Q(_NkA4?eD(k^S8RoHU7&4 z?*54Ty3B9azIo3N*V*?@X4?7Pkh3|}#%JY(`Tq)irhmJ|!>a4W<FceN@a^f{t1slO zm>sdb@nd;|ehJsXCG&#|I6Zi0XRVd_Zu)uq;#AWO*^7*QmZrFwXR2{LKC$3$K+7i1 zr^#-KTiB}#&K$a>F<*MEW_@S+rQmOj7naDHxJN(qIrvJ?L#um*=GN&`zVIvje*FCI z<2EnZ<nJt3R*G}lb{*Zct<;)*xoFQ^+2YHBRuNIIv(08L;#@IF^N-2fqdy|Qd4KUX zoO5-%SLSREgRN%1+P5!Neb|4$KkCQw<Pz17-xj*0_GdX3Yo5Na>0nX7<kpj$>s8*U z&$`@Wn6&ZVzc-h+^zlwzVlnw<hQj4C=CGHG<2j4&Jl?<H*VY{QDa*3fMma9NGm+(U z!ee9qyDmj3*~zz7xocnQey{g4>Eq3*wzWpecUu&%>h%Bce%oTuRKrtqu$<p@{h=Rq zH$<x#xL+(b?NfEDxgu-tDXgTrXX7HqqK*&s71tw~C7(W-!R)v5#C87iv|D%13(s?3 z8xj9=sqCYNE?er>ImZYd_xrwXt4WG8TU2Y%VXnB47w;c-JQXh&`Q5?m+|{~ts;zE( z-6TtQRZep;nN3R7pW2$v-p%#ze!NX!c^kj|cFvcx9p+8F`#0gO(zdncY@b_?`TDJ0 z^5Y!ejP3Q>pJgRpN~;$iSekY2*2EckD;_<)_0n-mNXU*v{hM33{&g)evFwV75Vd(P zt`>H|!KKU0*dsCHTbjqR>Q{f~y*iVmb$R3d2(edO4{t{;PP&n?^YMdKEs_oL^K*Vj z&Y9>uclo>x4_*kyzbX$k-?BI&^Wl#0CCkpa2gGtbcfEPa>rwryy|)jDd^xmauj#F$ zcdo24o4+sbD_`l_D@7L*(_~abc1HiIytqtJ)$aP~=1sM4!X!IpzPgv9wEgV)=bN=o zibt%|;w|1djhSn2chbHaXV=6Y-=`rt<IkSB!2g;ytK@7ux4L}!m?AjU*LL6P`AHfp zAGAHK`t~|Rb#7p?#W#=B$}#nklCJ-g!a_7(USWCaymr>wop-I5zkc%V%H8EESI#W* z`Vt+JQy5>c$bH+((1(pvTzT~tyFHK5`LsFf$|A)NMPa+EbZ_xDS2fsOn`ff<DQoSe z^(G#NZ*e?S3)%JX@0IguvsUUqES&blr7hX~MeFU2`<hZNeY-JDW{ujbfX9MsE4}Iu zM{Q<Z$@N&yAWH5x=ilxpTD#?<D{mR`+?aW;ZR#weMITtdSsXDs7U$BVy<y?h^U|pk ze<bPOKRuo85mR=bo%-swnw`%pxX-2S_*nl#spLGvWjTGm<saT2c*e2(lXd#G=Dj%| zjNNCgTQFBk+WTChBG=vPfxCYOek=8UlDNlj&w~0UlmA9iIZM~tRL}l*anptcJ)E`& z8zs5Bu9eR4x0<-!syOoG!imb!SO3;lr@WMT+G5$=e1B`}>v=)*D*C4X+`V1HwRp!x zA8jqBC94z{Nbgzk*5c0siL_@i&(_at&f{F`T$H>n&3JR9ZmT$p+Us~8rxRS$xE=(# zEwYVCc=w7|uU=@zo4NN5*PRZT_<mg<$8L#=Z5M6UOu723?XBx1k#*jyXRL8u{&I2Q zfr7d2FCXs65Ie{ce6(JnR_kz5i1jYsOOplnUq6@>T|7%)R;hl2^IYjG46~J&YA8l+ zO!m34sc+|8_4(2!tvTm1>;0-1oGh`gJbie=)}+_`Cd-=ZvT9Q#>d!9<m^<gI-92Ng zkHL?(9XcbT9Oh}h#7K(o=bXp8Y&H38J7%XP)#yK7w@u(nv5Vow<Cm9YSVk0Ic%Z$r zJ)6nVgFEe@V8J6s-X9Ym<h}gK^w;yw1{0%Cd&-wtwRxL#{ytIisyvZx{u+ac>E*S{ zvdx>ka+(Z8eNOG#c&ec9e{n+n$MCOaYp(`wZ=ammE6;mF>E^XB6DQ8$ni|i1O07rj ztH*~Ew~9CJjNK>xze?C^#ewUeUa~*E`}EGU-_pqkr}cIP+Xv40$HjC<_T|#o^Pgo^ za&7(-8fn=jIq~Iwmn4G@&$~Laci!>%IOWIY+TaT2d-qF=3-hb8Hzfbb>pCB~TsODA z)#>}O(Ek5A>c*-nt9m3G^TIMuZ`#VibVdDlvx4B4??09Wgo-3=$^X<VV)jYluAa^6 zZr|5yyNgUV|IRU%d4KFc`AhzLd<Mz!KQ?wwj689zLax(Nh401z3$;?o$OwbmcR4<{ zI4FJIILrLlIqvCY0V#W?@LzR*p;oh1IA7t^ocar?+zC&A$}M}Jt|u!QY!$ruON_a3 zoQ0R0Om^<2c4pUjDQD9<B^NH=S)bTBT&*5<TzSi9;w7On{m3Du?wkEv_}++>I0Y_~ z4v3xdNGt8&?B(}`|6XeAtABs!)9Zu(k4KgM;y-j~m%o!*e3DmZRQD|(+l^DjE}Y6M zp1ov}xPVxFV$_xW-@_84j`y0`MXgp(_&cX`@2`N|2Ld7|pT<0`UcdI&v*ygpCC;La zL5atj=Ii?xi+}1kyP{dt`0g<d-NLdQpR4_cYg?y3t5DZYb{A&(_3xooekk|pFU&`t zfB*DEJLa2$_a;wfW!dT9A86nEn!Wi_dWG@dzPhrs{Czc<E9+Gbx-e>aE<4Crr;#Y( z5>xfVU~8EDanBRKbr*VEFPJ4<eCZ#@{R2N$lP5jpciq%;D<h8m%FLW*0X;8M>q%wr z8x#Ab9%)Y6{@m$n=bL-$W_C`>IdYD}<XVcmhU&hO$r=l`E?XIW%!kLNS1V=~)8!2! zXO3*-^kMld*j933$;v5D>iL<BS505_@?Ct)^v1Q~%>f+QkK)SOrP3b7s!e73z2vHV zr@UzE^qp4=PPb>PCvFuAZtMBE+P&?o$%+XR`8X$67sNf%{1qb5KdbSj_clwuA9eqD zfABjT<o0LHWL8mrI(bj*)QA*MrgiV;?vX#6yg&Xzl1ugS^0T2#1(9DYlnd*PE!i#B z?wVq|ucwInd&Uf@`wR4cTFzB}em3Fs<V1_8jI{*{d1Y&!%6}ElndahpAy(ty^O;UM zTnANm-K*T_e?zOe^k}fe>WThG_GDK`&B}F*^PVKUt1{PrYlu_l%Hx@PqFn6NR{v4{ z*md8H**)jdx5C-)Uke58-2Egl&r>QTp#HSH_VLxH_!l!>b7m5n96l#S_t8?}=no>% z27%WOZ`$U(Gijd91<fb}^D9qh`0p#7SQt@yMDVo3(p=4Kd!~=>3g<qY;x${{eN?t@ zyTAO#`;B{!Sg1T%ES9kO!Wr*pHD$~d9wtUP8o3sqzwb}2n0dhL%{Tr;?~~UAK7UtD z;C=R`K8^2w_*O6Z^>uEaF5bOw{zXOO<>%Vq*yVScIBx&jb3}T^{f3?ctj&`PcHAv_ zdf8ho_G|AJN9%7hTF<}a44mw;Is0UvMP}xOKND5>e_b{=6P$5)Rle+ej)y8weXTiE z!>;dGIaReixGnq^qaw>^nTC}YMRx^@E?yURefgw<w-=|?mz>MowOiSf^UV#zmRQ!1 zt0%cKPl~Iq%bvLGZq#>EnKO%IZYo4%hcBP^Wfyy(Lf~ZSxhFJCzMb#BvNG6l&5xVY zqW%WP<UEaV{!!7cc4l_U`t|Koo*%rHP%B~aE4%xaYTvF*P3NSt@8*dHTVMMHpZxjv znV<8_rR{U?X#Oq;e7(Q^n?~cF)OCWpZ_M8@V^6EfA<1{QZU{b@z3=^<mMklS*O37} zv(H|(z7!&LG4J+O^ET`5y7p62w^P+(+U2%9xpH7_>7<!VH$Mn$sFGj%`jy|`XSK|F zhx|6&KJe;W)b`uOmlR_Y?*D(aZRyDmd>#D9Jde)H`gZX}59jKpN^zZ2r*2QJzoema z;k$Q8aOK1`CPELVg!jw{TrKhK_|&wBC&y3C_K?0_w&mOMS?}^#6`rxZUvag3>Z~xa zee)l><!)4V^jjsi&S|Ui{4+5UHYZM`malYL*P@(Z_v*Jv!#)1P6W=}W_lZ4S^*do9 z>)Xw>Z*wfaSUCqaeqR`?qk3VoM$AmHw|f2c>v96Gy~zzc@z=g?;aVx*N#(~|H{0E* zSb4@<>U7we^n(raSF+a@Mh1O}h+Jp&r7-C)gZ^=wm|1$ar<d$>|6HgsZ}aJ{1rybm z1n*P1qV+m3zq2d)nA3-U;jeQxxvjNYG&k*P`n&0^^Ak$#Qw~0!b9SRw>B<MmHp<f@ z*IOslEVx#Gb>;5bEoCRRTOHn8pmpbn{FdlP@9Q;d`HtBL&h%L}O|c~V$(fm<hYU=9 zHoP!qjoH34Yj?o)7!}Un8&xMQ|5E)VgzxL-|6kc<o~)Yr(X=~i{Uw#m$&UoD9BDgM zyF)l^b7AjmmZh)s#T@sZ_3oOotUT;v>7=QW;$NJ4kH1v^GP$%~l~;atmd&rf@2^P6 z+-Qkr>fh_p-&0||Lv^d%zAHz<x7@p)Z)yEWu4};?Jr6}usrn6vJry`NY&1V7xnk1E zGe@>7AJ<oMzSX_bl26ykzFQ#fzo2r**<)v0L}UN_*v+<HEL?1N>9-y4RaB%uwCZYY z(VR3rvwX_NiOicfpSkdUS5STJ(K~la^W+89WGeEHyiTi;JU^%4`U=n2MzehXJu1Dw z`-+<A%x&yj^b|5D?frKuT_)V`+M|QU?_6DOz3ofZuA8Bx@Gj(vqwtbfOXdG1@-zSB zeRHTqBEHor^L$HucjVE)y!ZdPm8I@HeQfgJ?a|VEuXu{na)mx!6xwO`{b5hrllt&K zVR0@$J~Z^Jt<Y0lo9$S(c^ALSMlnvw69VbiL!8+4OlGJ@9_l>tPg2)r-67LGZDt)? z>|867LYLgBy&-+x?b{mVuRVP~I5td<j7t`EIm0Tw{%!8W@AFtVa@*dU+|9__>%LTc zcjN3QWrferl?C@|+x)S)dA9pm54ZNQ$A+5q3Eu+}RTNAnT<UB*m|XGO?@uHvXLU}A zwVqkuj#;ctFBkbN-5}WXiRJi@xwDV8P4h^|{`a_eS<B;PncH4X)u?`SLV1bkhckyK zY)p?VY4%(1*4S|>TZZ?xley;NK7pO})sGhyID}5Q{2)hsOS$Bu^lqyiDIXo15Ay{( zUpkk1a6`So(yxd8P2TVNe?RzOzJ%Sp)Gp_Ybw{R){@Z)vd~`#EMuK_w56dIp{I6y- zdH%ln^S{aJo9cY!C#IgM4pKND9b0r?Ew(dAveR%|NKxgJJtw%fr9S?@OySVns_nn^ ze@(xtTXCYj&V1T!g_(I}C)Cq?Le3~m_NdWxxf~+#^5w0=?)7txIqzi(S9Asa`mub6 z+turtj2w^l-rl}w9-r9nb%mi;zi-_=Iql`!CsX2nE!Q}*u*;$P>{XRa?L)b;7s?o& zo?MN27QmIT>c;oQ4970tk=^OY^t<Dmp`vK`<t5)|^~K+pz2d#GrKEUUz_F6&4ht{Z zKP?k^e`<$)=kkks3J>m-MAbLX2($i`(Yx%>{-+zRILFOt%r3~kTA6>C>AD<~;1%t~ za;NO(cln*+ec`_PZDdBsRo}zsZJ)93x#_7fi$7T*eXaiNq^ECdjvI2E4_aXwZ8Cpp z^sc97)wTb%`+GuErY;CwF<Ei5lUT}?bqfl2X@01wEUrE*^Ye>*b$PLr#N*Dx^~`O{ zoOiN)dipB2bN9rbwy%PhmAw|xUwi*X^Btd`;lYo6r<nBB-ApRzVX-{^$<21Mkbz~N zg^NsJP<>qA{M+@pVj3%FG;F){a!XVi7thB76~_ccLxmqFmuubGB%rWbJJsNTnP_s# zf8ovEZ6DI+6$dVgusQhc%E3!dUm0d`%DC2dU7nV9<lX+zOL7wqY!q5}|H;7_{u`!m z{ydfadT(Y<!NKZZ9QE&#PVrwiW`B88&}4^mqe@`a9?8H>U;l0Kk30~1^cqLK{G$oo za>Z4<Tb2hb%<`Ohb?L0v@$2%JN{i%J30~E|{b7ztx$eKS8^iV#&0BqDOHI#?wRaUv zmOVYcKKN99^gG`vCkvL(zQ0x@!r53;g}H${Sm&dK^>V`_=QQk-PnUbJTsrH&ZT>Qm z7+>F~?o4ZDBzh$tSa~Sw|Bjr^Rk3Sk#&Gz>ia*^@^Zcao-Wh(|g&ktG?LCBCOij+M zs&YU2`NDBmHSLbOAL8n4#F}2bbyAUVlvVmG-Z}Z`jccn-7S?zEaykFbwyy9^<^{9* z1>R50Zmu)1W$KuI{^6DDOcQFBOt^jO{Qi#k(+gkisoSS%b#LcJ9>=igRnL4Xbyl{{ zXFRpAZpuF6!`&6JToc#3D7*;||GAX;+%~=~$}>9S<)>c#qW8k-VC>TsCd?8)*{|OY zow%IigiZ3r*Ed{mEoZ1Iwyn4KQn1?_pqmqx&7vCe{%v<nL6+#N$zOj}Fo?^Rv86vW z&b=R@yY0<2-L;$nLIO;tyj-@QTH4r?YyQ1ek6k{)^moWR$4!gQcJ=-an)gsupP89u z+l_^c>eElJm^MxD@SBtnqlrtV?wxzQ{^@%CF8|5w@urXE`4iIS7ysl;RS`H@e`Hc> z>F(J*pAOIOnDMt!X=Y2oXa6V1wDnFsoBUb*QtXVAYbEWcSjZf0xvsyfM>;0+&P>O9 zn;!1<JT4ZbZXoFEwNIq1j(P8lchi3<ugaH{SKcAD`d}V+N9-dl)8}7zXht`4gw2qD z?%SStGw57j+^L-p7rVs?FP$*czkcfhw^z3HBAk(FllSgf@;s&ZkJ&DZd1`qZOwU-J z(0JhVhjo??|H0Tbn(y~5TweFy=_|k6wJP81jf*p$$DFBmyLw3@O!ZZ-X6yRu`Onk6 zo^Vg730m^y^8C*axfi|LCU=C@=JSWvHHG0pp&<tjG#!5#IkEPNxEqJpu7Zh)8`Qri z=dqm?h~Hd4Gqfbpe~)WR+h+X-nrgPkXXnp79N7}V+h}xV!TLnO2!q1K4#&flTiN%o zkQMRnjlZus(Z2AUmf@n`9)Aw&dhA%atBX<3t8L}%tKTK=z9?pmtyyOI;Nz^TnL-W> zPgG2#?&K98p0dMmf9=WmhTb1q`p<GRf;_|~f4Bd!QPAk*j`|5xD;#}4-#KZL_2cNO zU;3Ave=9Hi_i5UTz|^JfZ-p34*Esc+I=sG9GV8*;j;z;OKX+Pbd@k6S%Uw~e|BrDG z`vEV@OSw+jYE#Yk%BkGvW$gJlTW)%(v-92`4^C`9lkoP^r@E=)-)?{7z2>nzW#5s% z_nTR`ze~-UZ8o!vHBS2mQ@!ga&)17T>h<4wc`SI&jRTRLexhn}`%iz?P^+3<ZhKlo zxutGl*PBm#;Vl~L<L*9BZdjvjuVu00tTW$d$A7D}>|{S2JUMA4S2$D7={te@gqlK? zc<<hhTEUZCl^H31e4&NuwvO#{!}7(ChaBzxSRR`w7{6KKqyI;NLRTrz_ZJQ7mC8eY zNgopB+u<{JeTJg!C9cnxy-a3&l4h7W>x_Hut_z%R|KDU-nfkP=v~<G82Qm?Pyg}y4 zjjcwPWoyd0{J*eHI+ONUETWbneZ|s=yMMfuH<7$K)&Hd&``i<Zst0{Sq$*dNxCI~V zz5BH;F2wZdvM(#x|5`b(-+TDjts=eiwVm~WWji0SmMk_k+)*SS&z<)3fU|X|fo4|l z8V<G@Yx$$?<h5Q1PvSRr;P#vsx?HiUc<*bTQ~J01{+@L5vz=0JH~D$S!=NL(C-vR_ z;eF`S?7DO3lG4g%?_Baz;^#Mx_>i-6FX-<+?fy@SG44;JZtDFlIoVHc&6&xp;mYxA z6I0d$-umPtd%B{k)*b)!=G#dYmgz^LqBdQ-z27J@aPE!%t((<8ta@QB8o6za%Id?X zo_TDW<#cuO^Fu|y=gkN=&G9>T|J1sYNN(FpoSEq#+}Q6-Tb9?Vuw>WPM#mNF=J;tG z+9SI4|DQI83Cg#ar#wEh(3I(dwUCa&^64uk7HwQ;<)Qq{)x5ql^6`?X6OC>jyZqN@ z4O>^zqn(#{|6X0N@tRL+-m5OR-OW`E|E(P}*S`#WXO=Sc%d>ko3_rxxF>QLL_Q}FV zW_5q;gvv7&D<k%rTa=Xhy!<Vk{$e`ksj5{w*IBFEZhId1mFsBVC99X~c0agq`CE}| z;+h>7clVr_+{?#nd1}KQf!Xy;E7;UluDRZtcg{C8^LIyg&pZjP=Q$7ji~cGvK4iwh zb*4D__2fn#QS~;ZyG*uyF+XiLda&M3E0%fKy{>X8b61Y<iH6#*-wv8zt8PEdw{g?^ zewnB(vkn|$_-(Q5agsu!RDyrqOqYwR_w7AgZS{MjW3oSQ%j*oqKeKtf1Byjc>ZhLF zY`?`Usg+Uc*K1K}nGe}TS&R5}f7vwbuC3!-sPp&K17}Tx^N0ODpSimv?QMIzjd{`H zH-Q^hh)w=;?#;a4w_5Voo;!C_$@JgK>LZy3^KP}?dm<SVWA(Jm)iiSAmOwen8{6fj z+mx0sy*PKDn1Y++^P2Yk&;AA63#szCeEec_{T_wC)hp|7Y>jZb;^UiB?^Srql-WPG z&^4}NLCE%dMcL(zIj^Snm6qDgUAr>(P-g#@BFjDQK9gb(Fz(NvdTd|LO1{<dbN(mT zE-mv)a@oJYxSOx+%2$Eyoz8&_Vm+FHss2wn+Kbb6%+Ft|y=KXTW^aSHP0!Z*P5I9o zyVoG(p;EoY6mtfR$Z5e#I)4>d&wOj`nPB~Vm6FiJ-Cin9Y|kZ+r~bCw@gXw%p36yl z+pi98;`b*@bT4CEX_WZ)tF4u?NoCpIDCNSlS+h<s%hw%V={^6{p^nncUOSxE&z|T1 ztm5&)P&Z9MX$AMDS=<*6{*Sui_tiA-r2a|)L8e{r*2PGa*57`jqx|TONv&3VfBJ-P zb7f{^J$Y@bStcrM+V^w^-~C<8dkm7Iy3?Mq%KYbazw&90wWa<$rF3yK4dK2All1pq zzP@|2a+5#?XV8tLyu*Fh@}rk<W++-~AD?(wA@G=&+F`8_zHp_V%GoP*4nE2@ob>m> zcl(mfa<i``O1*i!>PC0HLbj;D(#+k#1(LeGsi*q?a&HiRU*VX)wQQ&7&fMcH2E`8B z3QxQG=p=SFHrmIfEtXof{?xMt1}2X*q~G+ieczl~rD4MLz)kGy$ITZ%v|oDt(SmD1 ziSNA037sDf#~tUm(tmmLw(BVpvpd~YCwEW#8ZH*fCcIFKqp03?R`2A+4E2{xL$j7S zPxmd}_f>RBWO?pfi7(RO!HaFvW@|UyitM&*eO)a6<J9ZJ(j}VlQBv9_orR3r>m0Jm zop!K>8LsOzEZTnQzuM*UPv;A~GR;CO!)2fOIcRd!D)(#Z^2~bj^}+nYX8n{iHw^4^ zyA;m~mU`!8%j?W#m{Q1~EH;Jvzi7R2-w9bU!+b@?koFYs(7THsiexQ5f9{)TcUP;N zv?7ny>Zm|h*+sS2Z#DdA`VjFn^YP@O$A0!-*S2f+WTq=!yqZuf$I2<?>}4f+>Yz%P zSiqkn8$SGV{IYe*DtUJGLk*SR7H^%!|CE8@aQB_8z}%ebd4ZP2_otlEHP7@2c=Ob! zzTwij`j^hiFW0fXf2JMzKXeQ0YrZp{&FVStyq8?cGtB+Vdck=6ZpORZQ!-BqY+rs; zV8sX4sdk&X->a?M7n40}!Nr&Fx-A#ie^dPFH)qcAy#~ka>P2N@Qd#evUUlWo{^sV1 z*LQI(z8tOIWcvT-a`qRun%x7Z_oNA4O@DVz>L3`nwC*`^r0w?KVnw3+#I{eYIiY zx$jOl^%6suzcp32SgAbkQn<_tf5F<;h&9)CG0ZsjdgEFhj+J>z{e`~F4R2JIrL1IV zO30~~-m=+k(*r+0fhMoM9c~;;Uq-1;US4&0ORvk5HS5!FN@m2rE)Dy0XKv-0+=LFX z$%pcmotx5eB6M<n-p9~86Ba0(Td1@+xA4dzE*<m#!M_ZO{}x-WzrMF|?#t=iVS)Zz z<}BnrwfOpL7Pr_9oBVBRcqFB>s;(_xxB9M|luz1Wsk|rW7hQ<--gG|a-4tyVu@kRW z9o7HIpfR)2F#8xE`_5&b9ZoOIW14w`#m3)sgW)2NzG`8Xq*DivNmteL8t8rzdbiM{ zP3ddGqAQY)Tc7Q=3$p#XIrq&Qd-iz21TVRe-IWUjdsZ12Z%{O9<vCq0VsT}~J<b)^ z?~BaqvpdJ~>(Q$xOC_vsF!{@bKip(!sAk{dE%9pN&M>AgsTbFJtJK)9XsLO%)@;Jj z>-L9?Osc&sg1%@hY1()7eP7h=<ob{aXW#TL{;RXW_T~A8nLC;)BxRrP-}vui+<CU2 zQXZQ={NdF39^Uu=$fNt)bJl&#x%@_&C2DWOO2^l=3m)8#Za%f@zyTZkP_g{O<u5c} z$A8#<S5NEWw7M134osW$lC^^Ilk;ZNt=nGyk7_Ue^>g)GVYcr_FZ*fNM$Pm8TJ~D? zyL$cpn3+pocE`B(|NY+TJLy3p%k`z!Wl3|r!qTqpTFkB@XX{t5bL`DDN7wLalGzHY z7s@TQO)L$*dbDO^k!tYE>8oEv8Mmkkgg?p<OEH}EZMBb6>e54z^Ftq+thmgczH+7W z#MRCQn``~*6q#<MFFsVcrhRIINWNwF{1=*nv%Jo|t!H|@EY6n4OwM4w;hGDk54RO{ zD6ah5eU|5cjL3$tM){V;sGny;+|qn3&vmP|JjfK1H%(@~w#Io`WYg@k2`gv5{QSH> zrQz_2ZTd<twrPg6SedlQ?|)sU_h9-BNxp`ipGxQZ&REYAEz|Jb^KX**B10Ds@x%)! zRpX1q=4P+duu-d@tG9damhOVOdlw4-KAbksKeEBB^#{v_V=Mb&&3`5@jF#cO&VE3> z=#frB+<YU4h0~=reh<&|*}R(T*MTFy{kyLn4lJk&a%_L=lhv%eA?4lI*n?u3>gRVU zuPK{t<1PIC*zAbr_2zAJZKN&aS8nw=A{i4|n?CbwbUo*h-9G=W2iO0-l+*ktATe6u zvdpQvO=6eo_lEjq<lHJNzJE3{XW|0IS6wn?I~Kh7)f4#n=9DtMnG#2oE|yup`1@8T z&}?Goy~WpF`#fLQ_Tg67t0OIHGjw=vSKcaF{MyduF59eLhGw_gjy2)O^v-?j(idmw zWXhi?q%hTBar`lnAfv;TSA(Zo)^lB|4OVMYwg}UmV3{K1e)O|-2;Zcu-~FyU3V2+< z-7~&0zJW(a&cLU-+55$Z&fl4VcA0Y3yEuL=yQcK_$BS!%32Vc}Y;HP8#o1rIHq*Sr zZSleUw@(f&n_yY5v(9+JM5iMa<}Oz@e*3w0(%E&Zb=SSr$dLP{zG$7!xyW<g_dVI` z*Df+ScCY-7XZW_}DYXF%Q_YL7ZtKZ^7PltYQR>+9M|qz6;veJ*9dQ%-a(Q-c{LzQr zw_mTG8T%vjKvTK`w^ZOyv$C^=VP{<OT+U4K$+e8muRoA!@X2!R=}28(y&}u*BaU+q z6#jT-t#o=x_onH+Q_3zR3kot@N6DU1uoYbw%UHkt+A5WKhu&$tYTcr*qO$zxDluWR z-+S-=c&@s7ll|Th)j;LB^EW^7O`o_+?m$h>bwk#94{A9dr}pGpznl`&^vde&gq^JI zu_q!LJwFQ7@I0Fw9{2Kmy{F;xZ^g4D+BW^^bvNz05`RwN$)|<d)h6DTxJ4%%-L&P& zJ6Fcp%l_AX;jN$lw2>piC-v5OnGI(;wQZ-LIU@QzsXjtKtwA#F<-rrohrb<jdnq=z zBkF@!Qc=YtXG8zsZA>}u^}gx7=L`&=yDm9rOL8wu)Bf^*%4VV=+jM5C2dGY1<7vv2 zB>E;j`txT&=l|7jr1pl??A^BK5clp)ogVo)b;@0y;!?K9KF;>7UnhINudO;RdqO?O zdX7(@9~o^F&|`CaCDx;9V|>?Lf7#=@e_t}@Hzk^1`{*EZ@O+-8>{^z7sjtOakvfu% zR!Sc%Pn}Yb+PWw3`ura^Bwo$?6&-fZJ353tzwTL(5>Lz}uJEXdHS=nURW8>D)c<re zo>cB}xb41-+@f!?huwd_n_1tW$;+|9O3<nFl9*QP&L_QH8=RgU<76{Q-rCv6nCi}S zAy?KbTWSYq<od)NRVNsZJ-oiUIZ5d8?~@zZR<^d4{tu{lDU{i(G*Ns0>zX4AU(QYM zw)p-i@yC^*xsyM6ZD^kVd*0{Y$1hHFTKRe1$%J>-XWyE|A9jg%^7d-~BXuF(wSLcp zZ;$0&-po)hjP1R7_G<cCd)F<hd3n1INT@r1V4Uf!a!q&BBh_i%T(vpt5}#-)N-%^~ zKXvh1eqm>w2h$zH{v~f)Sy$XC7O`Js>-_g|C2Q|Gk){(bHeOx$-}c52UdE>EzdF;V z+S)FQvZ>)`=oJq<*Lt~c@w{K_0(fT35L+Hu-yw5IV4arjOI?TTDV`JMe|(TRA#?NQ zkrk5j?=|!N{3X8O&BO<*O!o)<jZxaNJz{Q-y-K_J!}VF(-<SPo+F*0&N%G$|WeJ8; zPQGW>>h~8jTHQReeUs&Ng<nj|gui&NzkJH=Mb46Y|9pz)S!tIgTO@t@@!^Sq<2sKD z_dPqMrQhV#KXjevRdUa(NN2-BdCT<ueAm6VHE!bw$Z!*X-ck8D>Hef{jjB^-7rlP# ziDWHZu>TZm|E`AC|I3sk*ZHZKyqL1&iu?OXORryH`Y7zcCVDA%?W##}Gr#S;d*)Mn zovlyNqnzgp9NBZ4v)hG~7XMaFt69aUe}U6(!_9m9OefSnkUv&$8JU`PTerkxqPy2T zo7KImjvdhdH|@=?mGvF18D5@po$@<B2yV42e_6Ek@C;44b@!g#6bgSh*`&_s)S1O+ z?6czv_IGce&1-n?*wm-W(YY^+Jr1eJi)5A*UpU|Vv$07*q2<&kuRuq2-&N0^sBf_6 z*~C)V^}|Zx=hxf(0m{5b;_6dOEB=Mo)o#91ZuOAG_$X(@Y!9wv_DQD4_~vO{5S*HE zXM0ugi8UL2Up$a~vF*g#c-en*e#}|$H{sAqOXmNFKQ#U3|5)Aot+ZKSlmE-EEBhv9 zoRw=3S@PZGl*M%C^3RQXEf=xOZeg_Z)bqLV=XgSAQ{cvAF0NS-FPbJ#xIW8xU;RU` zBl{k|obj!y^+$RA`JNr3htKkAIEU$`y?(dh*<UV)8KLhTUdC*=aWFw^ecYe9ISZA3 zE8O1bz9d<1&Xr?(cN}<j;dpTY59cADx*IV?HXKiMD)xjNWoyca-4UzurtZb3)|Kf= zUYnjvBr<8=J3Vjb_y63dv+RYgY=}?s3<-Y!te&I8Sj$v6G4<EtnARQl_T2igUB`x< z>!N}?bL!HCWz$8kO}TW|;q&2irlOiJ#b@RAOZ{2BGRMr^Z+nElxwbBY@8W$vOOsxk z%neO>{BlWYuui<hpKRq<bEiM~WR+XDvfC-?=hsB9)Km8!>FKKMi;4_*>$XI9)})1b zU60h`nqTJBZ``9eDKp~Mgqcg8Gp*&Bvd?O(iq4Cu@6(KCJX*(UwOrvuf|P>tH7kXz z<P!&@Oq&W)rXRFZN?F6N%p1R1@RW_`-!0F7v&?$H-dZ|mlgOJ3SCc0MKCN)^dd4VY zxZ>g;;gIh<Qp+x$kSt^WzG@+FCO7ZpCra!V0?co6%()W`cC4wFT3^|B`#ytjVoh3C z<n}ZBgHGGdT5|i#f=iPWOyr8?IZQ8{^azOS`+aWD%n9dKH!hf0t@Y=Sn@PyC8#89L zIz{gMqG#fK`z-h8tKpujp1KBa-!t{e5A8h*1?T;or<)hEYrgwc_Jl&;9p6;Hykh7$ za^?5>o|RKLH_d*l&KI+ndrxwG`$o~dAs2(*ix^h^)k=+<Z_iS4lee}jATPzJ<CRcp zZO}n}pSMg6`#wp05au?nU9PJm?Y-*Z%cF6=4}Vt{7B4r^O<BV_S+xFOcR`W&<3P5v zR>ficv5(iM%ud|b@8x{1<jzKwuTuW^o65zeoL}<%WpJ^ed^YEzi^?U5)?J0FE|aAG z$K={i@R~j?W?y@B$cM>uT%UY=zx0Bn35)v)anlx?A9fdgvfj?l<bQR;$;r6Ly(`7& zcaGApbza&g?ED8;FDN^5yFD?5xjiw3r9Cl)wLLL~ZF^!0`yV46b0Z5wBV!9A6I}yi zbpr!+O)h=k{1lhOl2io^7b_zJLsJ8|lIfk(*-tPT8-cHI+#4C4|Hwk5?s@o+!f@@9 zM>qPCGq(mU{LX#(^p?vrui7jTP_S0aoNQoxH-7)|!iE@*DVn#qCR(VRE8q8dZg=df z9Y>Txf|Pc2ySv4>ebnGMYHu^K#VGA@r&0)q+lub+SoZZhdTwkx&>riU({5d%#JPFN ztAKF9V;XURQw-egSJg`-YVB9zSkCnPK~I6_$HH|T8+8A4dfODToO5JZJm*2_JCCMt z+1sxyTs%7CT{L=+tMxrqO7fDK{dk68yXKrDN__`j=Gu785$P?AnbQ(A$K&)dX<bK^ zse7CY6=tsO@K$k;UeTJ|uB^~4_%f=Zsa$JezWTbQHxEwVHKq70M`3P#ZBxF>zJf5- zk1W3bKcDBTsj2<ODRVrq?8WlKDi!A*Et%ZUevhYq=MGDo-PS)Ie|lv$uTpU9uI>L) z<f?wnyD)o6%k0_Jdw;0^+<kgt-@3IXfgxEupR(EcE-jQ#&6xGd;eNH<*XEO3Bwm%j zy1y&rp-cKqakj(F8A88~ygCs3?7UokNAq@DvGWCPg<UJB-}x!|lb3G+d#y!_vPGM) zMXRwzJNJ$jZHWWyJKCamH1`+q^MBx!duUwopz?6#vu5+^Z<DWdyM18s?==7OEPbK< zIkD%Rb&@=Z677X8_czaESN@>L&RA#i>5^to;u?F#C-dLi^*Bo$|IDIh(E9TA=PUgR z^$qn8q+1M{ITk5=Qf!mhKmXxP?>`##sdZ^_9W$CLSoA*_^&8iJ5qV~=!}e@m&6Ztx zdTJA#UY%!ZHvhW+@#@PD)R!z|o8@TcTO+?o-tbJKT<?W1iF1B@kgYr5U(s6sFy6ki z-n`F-Z$eF%(v}ms&)4t2w`Aht)w5@RzP!}!jjmL^qi%VwxalF0`;*;{u=UPaq?LE^ zqN=@=s6_d*ecTrvkD1Rpz2<?I`f|VCEoBRz{dw{1bo*;n(;{xuX?*h6FFMX{-=GnE zc-55+T&9a!rCv>2x$)E$nP-ZN4?mS+e#&^5+0%$cS5@vw5g!-tagA8#3+2APQ^l*r z<Lvn5>}>7yD(VZ(E-M-AObl~$lhKpj@@G<#Nwsd*MJ<Q_;k}P{OOzX1yqiBqx2Jgf zj~I(v7SY$I*-EO<z1ve<X1K1rqV`|KCIi1*Lq6{G#5pID@78WFJe6g-u6>eSWLMPl zI^~x^Pt05Xu2p&K%Cs)+8jo?K-_-S?m+r)RSWCxzWor%+HC<M}Rr8Q;m&WCl4`jPu z1npbkYatz!vfn9YXT_^E0qdvz+Z6U|?bJ`H?^<7H>Tx}YT6JA?4R7eFyPBfk_4j>Q zZ>LvNAYK)?ZR=dYqKoGWp2sd-wR+l2_uRskcWJj`8u<?J9ldZR#Wvql>#ck9H{V+K zZ0Ep=)Xn;3UjNmbFaNvA`m6rYx$aAA;|~1Waaw2T?SeN)S3XeH&sgLgcj55%loLgv zPil&TZ{7>2i&UGjN!hKiW80cvM_qNM{BnLg^Me0n^BwAQmfsNBxBX<cMb7d`*XnoJ z@6okfBi_DPEZ_2{ki)B8i}oJ9_g?qZsgvG2Qp;zyr8f0UyFIy5H+r}A)E$%kzwfO- z@2;I}Z_|44^qu<&>jRfBzPI$8-q!1Cou$8QoKmx5^+GD|>y&ce3^m;zn)lV@g3`u4 zO6z=CtVNHV{@p(LG5eBVr%o>`U%z>|#hqFF1(J6{Pgt${DXWya(#k6__tnJPtCjQf zwf3lV)=Su>Ihy!uA6qq7E8zW~Z;!g)aj$=*v9JD&S-apjZw>93_r~kOf38}hwK{95 zoZi|kEAQ-m`nAhomRRDHC$j&}uUfhL>-W90FJC^qX=U^;7o9C<re&;EIaL~Vj4M=g z^Us3RHE|c#n1)@DE#tlQjmIv0mc0Je!ijav`lnwPY}zMT^||iQDxWFS7q33&nXftH z#$1(s?eFv6Y3tN;pO|ef?qfLfccz%a6x(--S1vb11;_O*O0?lx#93t^(O-MS>`U+` z^HQ@n6#}!xVy!o(?t3cqWNL|wz%vmUp=Z<ngcxP%3VYi>i;>6|*(0VRIeV*T_t&7r zx_A9|j4e$qJ&)z?WEQ)l8*%1tvdpf6hm)#AE>3%^{peP<RA1Mg`e}O;A~Re%H?@aZ zyRH8EcZUYY|Bz|+`ct3wz5MJY6wk4*pj)@=u1S({SjLanv;XAIbn*JIF|?@m_ToFS zyPjqniza?JKYvrr3jL%j-}hX(zF%O6_3j@9RgqDRqARygI{1anSufgxZ<5JnOXjcF z8@<;{1lax(>DiR&wZcHMu+y~uzFORDWphUB8k=ehi7961qZ%x&8H&F%<{UnkFFQN> zxZ7MIvni*zW+%U8zTGB!T*}Pz^^Fqit%r_9a7y20-^LqVSDKU4?f+J2+un|~wYS&u z`I=+|t7hyvAGON&4Rhr0d6BX+YpjjZ<xku)-}CCk6+XpN-x=4|Mz3T$o9oi}wmxjZ zRHcv;wGOwp-40^E!NYn}jH~G_bHZ;i7uhIfk({fquI){kFzslWY`C&Oh_>Fo=^h#J z;@20NDmAV&%m1`@N&mH_p}aG*UigHThIz@?=o{|JR1IOj9w1eGD{E@NZ-(uqKV#i* zoKOzqOkj=Qd~Wss?d%Vq@+{9ja%uh9Zy9In_gk;u+d2QyF2i}RRm<6rcg7XJ`oHM8 ze>{tFjz{sizz;tUnz1jIPEu1{Ci(l9_GD|z<Re=+kBHbMC3Od!*6RB-{rU1OGnzj= z%7|+W43O!5{Vh!T&9!oatY;5OUdvqjq?o9qC%1H)rO~#uu6^yM`twT8#GJnV*!Dl8 zrnJ!{uGGn&O$4V`EMSidv~V^vHZw9XGBhzZHa0bIGIumHGc&Ptvv4ytbaXW{u~V=i zs3aD40g8gDsoCU$7|nXs%f22Vi6U$dKA)RgeO&Ubm1W;ei{8I8%$EM0G3)QF%%y)b zmMxq1Y{r(($gKt95iD0%xdu3DFFe~C<<Y%BpeRaeOO|-<EjIxcHqo;C+s_`hEI;@2 zUHQJ>y)$o0>3lt;lxEj)aLuI532IkWTwi&L-t;h=AQjYoqSyS@4X*6pOY4`O@?e?v zV~xC#kF1cY-bW9fY0*K)tAyH3#jhMRS@C!?i>A70itI;ERnJ3J;)iYfmpCtrYrRvy z^6QTD{aj`bwXOzT-Efj|>729A-_@RbcwMOPX?nuZX$4cQ--m4PO-cOzYigd7<)O<T z%qQ!r%=uHOm{Wai)vmWo7`OchJ)ALBt^N{6^e>@nQ>TS)Z-3LED?atvNxx0qCX>ZB zNq;L;%lUmp^3&tSF8&F7H@yGs^?hR4rukbYmR(|uu9e&N_vihx2_;OPwVxZ5S!5JX z2=P|TkYU>NtKwf^5zC45s}?tAid(Xkq=a1Z?PIpR>s5V%Ga(~H)@sA#CE_#InJ3%} zzMEN8&!sWz65}QIGkZg4^KG0zM=|}RWs%&D>S$T<6AB*;*7L=7D;7=U`5ZavB8T$b z>48O^JrfI!9Q7t^r%dvhxH@H)$O)bg8Lsd5vi<qDAVX|owC3JB0@b^+3Le#ZF+Tsb zU=hchsVz$Ef!~)c(pdC3yDP@UTh&$W&Mr$=!yVkFU8nRO{QJ97gD*c~xwOiIz1QCI zoO-y|Uwf5<&h>R2|N9qAG*SHaBzni{R>R-yeW``Q(<>LV%kY{S7#NtEa;d7i`nz!f E0Bq@z;s5{u diff --git a/cMIPS/include/cMIPSio.c b/cMIPS/include/cMIPSio.c index 5554749..c1f74aa 100644 --- a/cMIPS/include/cMIPSio.c +++ b/cMIPS/include/cMIPSio.c @@ -26,8 +26,9 @@ void print(int n) { // write a character to VHDL simulator's standard output void to_stdout(char c) { int *IO = (int *)IO_STDOUT_ADDR; - - *IO = c; // prints only after receiving a '\0' or a '\n' (line-feed, 0x0a) + + // prints line only after receiving a '\0' or a '\n' (line-feed, 0x0a) + *IO = (unsigned char)c; } // read a character from VHDL simulator's standard input @@ -91,8 +92,9 @@ void dumpRAM(void) { // system statistics -- read system counters //======================================================================= void readStats(sStats *s) { - int *IO = (int *)IO_STATS_ADDR; #if 0 + int *IO = (int *)IO_STATS_ADDR; + s->dc_ref = *(IO+0); s->dc_rd_hit = *(IO+1); s->dc_wr_hit = *(IO+2); diff --git a/cMIPS/tests/jr_2.expected b/cMIPS/tests/jr_2.expected index 1c70bb7..09972f8 100644 --- a/cMIPS/tests/jr_2.expected +++ b/cMIPS/tests/jr_2.expected @@ -7,3 +7,12 @@ 00000054 00000060 0000006c +0000000c +00000018 +00000024 +00000030 +0000003c +00000048 +00000054 +00000060 +0000006c diff --git a/cMIPS/tests/jr_2.s b/cMIPS/tests/jr_2.s index 4a853a6..b5f9208 100644 --- a/cMIPS/tests/jr_2.s +++ b/cMIPS/tests/jr_2.s @@ -6,25 +6,60 @@ .ent _start _start: la $16, x_IO_BASE_ADDR la $15,(x_DATA_BASE_ADDR+0x10) + + ## + ## let's check stalls for add r1 ; jr r1 + ## + la $5, snd - li $3,1 - li $4,5 - addi $29,$0,100 - move $8,$zero -snd: #sw $31, 0($16) # $31 <- 0,snd+4 - add $8,$8,$3 # $8 <- 1, 7,13,19,25,31, - add $8,$8,$4 # $8 <- 6,12,18,24,30,36, - add $9,$8,$8 # $9 <- 12,24,36,48,60,72, - sw $9, 4($16) - slt $28,$9,$29 - beq $28,$0,.L1 + li $3, 1 + li $4, 5 + addi $29, $0, 100 + move $8, $zero +snd: #sw $31, 0($16) # $31 <- 0,snd+4 + add $8, $8, $3 # $8 <- 1, 7,13,19,25,31, + add $8, $8, $4 # $8 <- 6,12,18,24,30,36, + add $9, $8, $8 # $9 <- 12,24,36,48,60,72, + sw $9, 0($16) + slt $28, $9, $29 + beq $28, $0, trd nop - add $9,$0,$5 + add $9, $0, $5 jr $9 nop + + ## + ## now let's check stalls for lw r1 ; jr r1 + ## + +trd: la $10, loop # start of loop address + la $11, addr # keep it in in memory + sw $10, 0($11) + move $8, $zero + li $3, 1 + li $4, 5 + +loop: + add $8, $8, $3 # $8 <- 1, 7,13,19,25,31, + add $8, $8, $4 # $8 <- 6,12,18,24,30,36, + add $9, $8, $8 # $9 <- 12,24,36,48,60,72, + sw $9, 0($16) + slt $28, $9, $29 + beq $28, $0, .L1 + nop + la $11, addr # keep it in in memory + lw $9, 0($11) + jr $9 + nop + .L1: end: nop nop wait nop .end _start + + .data + .align 4 + .space 128 +addr: .word 0 diff --git a/cMIPS/tests/lwFWDsw.s b/cMIPS/tests/lwFWDsw.s index d6745c3..2428391 100644 --- a/cMIPS/tests/lwFWDsw.s +++ b/cMIPS/tests/lwFWDsw.s @@ -4,20 +4,22 @@ .set noreorder .globl _start .ent _start + _start: nop - la $15, x_DATA_BASE_ADDR + 0x10 - la $16, x_IO_BASE_ADDR - addi $3,$0,-10 - ori $5,$0,4 - addi $9,$0,10 + la $15, x_DATA_BASE_ADDR + la $16, x_IO_BASE_ADDR + addi $3, $0, -10 + ori $5, $0, 4 + addi $9, $0, 10 nop -snd: sw $3, 4($15) - addi $3,$3,1 - lw $4, 4($15) - sw $4, 0($16) - add $15,$15,$5 - slt $8,$3,$9 - bne $8,$0,snd + +snd: sw $3, 4($15) # mem[i+1] <= count + addi $3, $3, 1 # count ++ + lw $4, 4($15) # $4 <= mem[i+1] + sw $4, 0($16) # print $4 + add $15, $15, $5 # i++ + slt $8, $3, $9 # reached 10 rounds? + bne $8, $0, snd # no, continue nop wait nop diff --git a/cMIPS/tests/lwFWDsw2.s b/cMIPS/tests/lwFWDsw2.s index 2c3d378..72119a0 100644 --- a/cMIPS/tests/lwFWDsw2.s +++ b/cMIPS/tests/lwFWDsw2.s @@ -5,12 +5,12 @@ .globl _start .ent _start _start: nop - la $17, (x_DATA_BASE_ADDR) # base address of RAM + la $17, x_DATA_BASE_ADDR # base address of RAM addiu $15, $17, 4*4 # $15 <- &RAM[4] la $16, x_IO_BASE_ADDR # address to print out results - addi $3,$0,-10 # value to print = -10 - addi $5,$0,4 # scan from RAM[4]..RAM[24] - addi $9,$0,10 # stop when done 20 loops = +10 + addi $3, $0, -10 # value to print = -10 + addi $5, $0, 4 # scan from RAM[4]..RAM[24] + addi $9, $0, 10 # stop when done 20 loops = +10 sw $15, 0($17) # save pointer to RAM[0] sw $15, 0($16) # and print it out nop diff --git a/cMIPS/tests/lwsw.s b/cMIPS/tests/lwsw.s index fa7cd51..b604023 100644 --- a/cMIPS/tests/lwsw.s +++ b/cMIPS/tests/lwsw.s @@ -5,17 +5,21 @@ .ent _start _start: la $15, (x_DATA_BASE_ADDR+0x10) la $16, x_IO_BASE_ADDR - addi $3,$0,-10 - ori $5,$0,4 + addi $3, $0, -10 + ori $5, $0, 4 nop + snd: sw $3, 4($15) - addi $3,$3,1 + addi $3, $3, 1 lw $4, 4($15) - add $15,$15,$5 + add $15, $15, $5 sw $4, 0($16) - bne $3,$0,snd + bne $3, $0, snd nop wait nop nop .end _start + + # fffffff6 fffffff7 fffffff8 fffffff9 fffffffa fffffffb fffffffc fffffffd fffffffe ffffffff + diff --git a/cMIPS/tests/lwswIncr.s b/cMIPS/tests/lwswIncr.s index 229577b..d974317 100644 --- a/cMIPS/tests/lwswIncr.s +++ b/cMIPS/tests/lwswIncr.s @@ -3,22 +3,22 @@ .align 2 .globl _start .ent _start -_start: la $15, x_IO_BASE_ADDR - la $16, x_IO_BASE_ADDR - la $14, x_DATA_BASE_ADDR - addi $3,$0,-16 - ori $5,$0,2 - la $29,(x_IO_BASE_ADDR+0x40) +_start: la $15, 0 # start + la $29, 0x40 # end + la $16, x_IO_BASE_ADDR + la $14, x_DATA_BASE_ADDR + addi $3, $0, -16 + addi $5, $0, 2 nop - nop -snd: add $3,$5,$3 - sw $3, 0($14) - addi $14,$14,4 - lw $3, -4($14) - addi $15,$15,4 - sw $3, ($16) - slt $30,$15,$29 - bne $30,$0,snd + +snd: add $3, $5, $3 + sw $3, 0($14) # mem[i] <= count + addi $14, $14, 4 # i++ + lw $3, -4($14) # $3 <= mem[i-1] + addi $15, $15, 4 # limit += 4 + sw $3, 0($16) # print count + slt $30, $15, $29 # limit = 0x40 ? + bne $30, $0, snd # no, continue nop wait nop @@ -26,5 +26,3 @@ snd: add $3,$5,$3 # fffffff2 fffffff4 fffffff6 fffffff8 fffffffa fffffffc fffffffe 00000000 00000002 00000004 00000006 00000008 0000000a 0000000c 0000000e 00000010 - - \ No newline at end of file diff --git a/cMIPS/tests/swlw.s b/cMIPS/tests/swlw.s index 0810564..fa9428c 100644 --- a/cMIPS/tests/swlw.s +++ b/cMIPS/tests/swlw.s @@ -6,19 +6,19 @@ .ent _start _start: la $15, (x_DATA_BASE_ADDR+0x10) la $16, x_IO_BASE_ADDR - addi $3,$0,10 - ori $5,$0,2 - addi $29,$0,800 - sw $5, -4($15) + addi $3, $0, 10 + ori $5, $0, 2 # count = 2 + addi $29, $0, 800 + sw $5, -4($15) # mem[i-1] <= count nop -snd: add $3,$5,$3 - sw $3, 4($15) - lw $4, -4($15) - lw $9, 4($15) - add $5,$5,$5 # 2, 4, 8,16,32,64,128,256,512,1024 - sw $9, 0($16) # 10,12,16,24,40,72,136,264,520,1032 - slt $28,$9,$29 - bne $28,$0,snd +snd: add $3, $5, $3 # $3 <= count + 10 + sw $3, 4($15) # mem[i+1] <= $3 + lw $4, -4($15) # $4 <= mem[i-1] + lw $9, 4($15) # $9 <= mem[i+1] + add $5, $5, $5 # count *= 2 : 2,4,8,16,32,64,128,256,512,1024 + sw $9, 0($16) # print: 10,12,16,24,40,72,136,264,520,1032 + slt $28, $9, $29 # less than 800? + bne $28, $0, snd # yes, continue nop nop nop diff --git a/cMIPS/vhdl/core.vhd b/cMIPS/vhdl/core.vhd index 7aafb4d..4b1ca94 100644 --- a/cMIPS/vhdl/core.vhd +++ b/cMIPS/vhdl/core.vhd @@ -304,6 +304,7 @@ architecture rtl of core is signal br_target, br_addend, br_tgt_pl4, br_tgt_displ, j_target : reg32; signal RF_PCincd, RF_instruction : reg32; signal eq_fwd_A,eq_fwd_B : reg32; + signal dbg_jr_stall: integer; -- debugging only -- register fetch/read and instruction decode -- component reg_IF_RF is @@ -523,12 +524,12 @@ architecture rtl of core is ('1','1',NIL, '1','0','0',opNOP,"001","00", '0', "00",cNOP,"00"),--39 ('0','0',SB, '1','1','0',opADD,"001","00", '1', "00",cNOP,"11"),--sb=40 ('0','0',SH, '1','1','0',opADD,"001","00", '1', "00",cNOP,"11"),--sh=41 - ('1','1',NIL, '1','1','0',opNOP,"001","00", '0', "00",cNOP,"00"),--swl=42 + ('1','1',NIL, '1','0','0',opNOP,"001","00", '0', "00",cNOP,"00"),--swl=42 ('0','0',SW, '1','1','0',opADD,"001","00", '1', "00",cNOP,"11"),--sw=43 ('1','1',NIL, '1','0','0',opNOP,"001","00", '0', "00",cNOP,"00"),--44 ('1','1',NIL, '1','0','0',opNOP,"001","00", '0', "00",cNOP,"00"),--45 - ('1','1',NIL, '1','1','0',opNOP,"001","00", '0', "00",cNOP,"00"),--swr=46 - ('1','1',NIL, '1','1','0',opNOP,"001","00", '0', "00",cNOP,"00"),--cache=47 + ('1','1',NIL, '1','0','0',opNOP,"001","00", '0', "00",cNOP,"00"),--swr=46 + ('1','1',NIL, '1','0','0',opNOP,"001","00", '0', "00",cNOP,"00"),--cache=47 ('0','1',LL, '0','1','0',opADD,"000","01", '1', "00",cNOP,"11"),--ll=48 ('1','1',NIL, '1','0','0',opNOP,"001","00", '0', "00",cNOP,"00"),--lwc1=49 ('1','1',NIL, '1','0','0',opNOP,"001","00", '0', "00",cNOP,"00"),--lwc2=50 @@ -907,19 +908,29 @@ begin j_target <= RF_PCincd(31 downto 28) & RF_instruction(25 downto 0) & b"00"; RF_JR_STALL: process (funct_word,a_rs,EX_a_c,MM_a_c,EX_wreg,MM_wreg) + variable i_dbg_jr_stall : integer := 0; -- debug only begin if ( (funct_word.PCsel = b"11")and -- load-delay slot (EX_a_c /= a_rs)and(EX_wreg = '0')and (MM_a_c = a_rs)and(MM_wreg = '0')and(MM_a_c /= b"00000") ) then jr_stall <= '1'; + i_dbg_jr_stall := 1; elsif ( (funct_word.PCsel = b"11")and -- ALU hazard (EX_a_c = a_rs)and(EX_wreg = '0')and(EX_a_c /= b"00000") ) then jr_stall <= '1'; + i_dbg_jr_stall := 2; + elsif ( (funct_word.PCsel = b"11")and -- 2nd load-delay slot + (MM_a_c = a_rs)and(MM_wreg = '0')and(MM_a_c /= b"00000") and + (MM_aVal = '0') ) then + jr_stall <= '1'; + i_dbg_jr_stall := 3; else jr_stall <= '0'; - end if; + i_dbg_jr_stall := 0; + end if; + dbg_jr_stall <= i_dbg_jr_stall; end process RF_JR_STALL; - + RF_SW_STALL: process (ctrl_word,a_rs,EX_a_c,EX_wreg,EX_is_load) variable is_store : boolean := false; diff --git a/cMIPS/vhdl/tb_cMIPS.vhd b/cMIPS/vhdl/tb_cMIPS.vhd index bbb4f6e..93ff895 100644 --- a/cMIPS/vhdl/tb_cMIPS.vhd +++ b/cMIPS/vhdl/tb_cMIPS.vhd @@ -632,17 +632,16 @@ entity inst_addr_decode is -- CPU side triggers access cpu_i_aVal : in std_logic; -- CPU instr addr valid (act=0) addr : in reg32; -- CPU address aVal : out std_logic); -- decoded address in range (act=0) - constant LO_ADDR : integer := 0; - constant HI_ADDR : integer := log2_ceil(INST_MEM_SZ); end entity inst_addr_decode; architecture behavioral of inst_addr_decode is + constant HI_ADDR : integer := HI_SEL_BITS; + constant LO_ADDR : integer := log2_ceil(INST_BASE_ADDR + INST_MEM_SZ); + constant PREFIX : std_logic_vector(HI_ADDR downto LO_ADDR) := (others=>'0'); begin aVal <= '0' when ( cpu_i_aVal = '0' and rst = '1' - and (addr(HI_SEL_BITS downto LO_SEL_BITS) - = - x_INST_BASE_ADDR(HI_SEL_BITS downto LO_SEL_BITS)) ) + and (addr(HI_ADDR downto LO_ADDR) = PREFIX) ) else '1'; end architecture behavioral; -- GitLab